2018
DOI: 10.1117/1.jmm.18.1.011007
|View full text |Cite
|
Sign up to set email alerts
|

EUV photolithography: resist progress in metal–organic complex photoresists

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4

Citation Types

0
17
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
7
1

Relationship

0
8

Authors

Journals

citations
Cited by 18 publications
(17 citation statements)
references
References 18 publications
0
17
0
Order By: Relevance
“…Photoresists materials are crucial for the semiconductor industry since they mediate the transfer of information from an optically projected pattern to a substrate where the actual circuitry of processor chips and memory devices are built. 1,2 To keep following Moore's law, which requires the fabrication of patterns with ever smaller critical dimensions, EUV lithography, using radiation of 13.5 nm wavelength, is seen as the most suitable successor to the state-of-the-art ArF photolithography (193 nm). 3,4 However, this transition has led to critical challenges for the development of suitable EUV photoresists.…”
Section: Introductionmentioning
confidence: 99%
“…Photoresists materials are crucial for the semiconductor industry since they mediate the transfer of information from an optically projected pattern to a substrate where the actual circuitry of processor chips and memory devices are built. 1,2 To keep following Moore's law, which requires the fabrication of patterns with ever smaller critical dimensions, EUV lithography, using radiation of 13.5 nm wavelength, is seen as the most suitable successor to the state-of-the-art ArF photolithography (193 nm). 3,4 However, this transition has led to critical challenges for the development of suitable EUV photoresists.…”
Section: Introductionmentioning
confidence: 99%
“…Since conventional photoresists based on light elements exhibit low EUV absorptivity, new photoresist materials that incorporate elements with high EUV photon absorption cross‐section, such as metallic elements, are attracting much interest in the EUVL field , . Yet, while the inorganic elements in these materials are considered responsible for the photon capture, the mechanism that leads to the solubility change is mainly determined by the reactivity of their organic components , …”
Section: Introductionmentioning
confidence: 99%
“…For adequate lithography performance, resists should simultaneously satisfy resolution (< 10 nm), line-width roughness (LWR < 15%) and sensitivity (dose < 20 mJ/cm 2 ) at sustainable production costs. [3][4][5][6] Though the standard platform for resist materials have been polymer-based chemically amplified resists (CAR's), EUV lithography application demands an entirely new platform for resist development. 3,7,8 As the future process nodes are continuously decreasing, the size of traditionally used polymers in photoresists cannot be ignored.…”
Section: Introductionmentioning
confidence: 99%
“…[3][4][5][6] Though the standard platform for resist materials have been polymer-based chemically amplified resists (CAR's), EUV lithography application demands an entirely new platform for resist development. 3,7,8 As the future process nodes are continuously decreasing, the size of traditionally used polymers in photoresists cannot be ignored. In addition, the enhancement of absorption of EUV photons by the resist materials is one of the approaches to optimize their performance.…”
Section: Introductionmentioning
confidence: 99%