Design-Process-Technology Co-Optimization for Manufacturability XIII 2019
DOI: 10.1117/12.2515156
|View full text |Cite
|
Sign up to set email alerts
|

EUV mask synthesis with rigorous ILT for process window improvement

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2021
2021
2023
2023

Publication Types

Select...
1
1

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(2 citation statements)
references
References 3 publications
0
2
0
Order By: Relevance
“…The level-set optimization of a particular cost function is calculated by simulating the mask obtained by the zero level set. ILT uses a variety of different cost functions to drive the optimization in order to satisfy different simulator conditions such as nominal convergence, improved process window, MEEF, and/or controlling SRAF printing [10]- [11]. These cost functions are tailored with special topology markers ("topos" or feature markers) and specific weights (Figure 4).…”
Section: Ilt Mask Optimizationmentioning
confidence: 99%
“…The level-set optimization of a particular cost function is calculated by simulating the mask obtained by the zero level set. ILT uses a variety of different cost functions to drive the optimization in order to satisfy different simulator conditions such as nominal convergence, improved process window, MEEF, and/or controlling SRAF printing [10]- [11]. These cost functions are tailored with special topology markers ("topos" or feature markers) and specific weights (Figure 4).…”
Section: Ilt Mask Optimizationmentioning
confidence: 99%
“…However, today all EDA companies that offer OPC products also offer ILT products of some kind, some used for fixing hotspots like Synopsys, 19,20,98 some for model-based SRAF generation like ASML Brion. [99][100][101] ILT was extended to EUV by Synopsys [102][103][104][105] and ASML Brion started exploring using deep learning (DL) in ILT for SRAF generation. [99][100][101] Despite steady, continuing research and development across academia and industry through the decade and demonstration of the use of ILT to correct full-chip designs, ILT was still seen as an advanced method for use in critical hotspots, rather than as a technique to be applied to full-chip mask generation.…”
Section: History Of Inverse Lithographymentioning
confidence: 99%