2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA) 2021
DOI: 10.1109/hpca51647.2021.00074
|View full text |Cite
|
Sign up to set email alerts
|

Eudoxus: Characterizing and Accelerating Localization in Autonomous Machines Industry Track Paper

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
2
0

Year Published

2021
2021
2023
2023

Publication Types

Select...
7
1

Relationship

2
6

Authors

Journals

citations
Cited by 17 publications
(3 citation statements)
references
References 57 publications
0
2
0
Order By: Relevance
“…Our comparisons span scalar, vector, and SLIDEX implementations for convolution (CONV) and FAST feature extraction (FAST) kernels, which make up over 40% of the application's average execution time. The baseline comprises a low-power in-order processor that resembles an ARM Cortex-A55 with Neon vector extension, commonly found in embedded and real-time systems [21]- [23]. We configured our SLIDEX implementation to be placed in the Execution stage of the CPU pipeline and to use the same L/S unit that the NEON unit uses in the baseline, with an RLEN=16 (Neon vector length) and PWO=3.…”
Section: Resultsmentioning
confidence: 99%
“…Our comparisons span scalar, vector, and SLIDEX implementations for convolution (CONV) and FAST feature extraction (FAST) kernels, which make up over 40% of the application's average execution time. The baseline comprises a low-power in-order processor that resembles an ARM Cortex-A55 with Neon vector extension, commonly found in embedded and real-time systems [21]- [23]. We configured our SLIDEX implementation to be placed in the Execution stage of the CPU pipeline and to use the same L/S unit that the NEON unit uses in the baseline, with an RLEN=16 (Neon vector length) and PWO=3.…”
Section: Resultsmentioning
confidence: 99%
“…The front-end and back-end consume too much BRAM to be fitted together in one Zynq FPGA chip. To solve this problem, we take a holistic approach to optimize the on-chip memory and logic of the SLAM system (will appear in [26]).…”
Section: Evaluation Resultsmentioning
confidence: 99%
“…For instance, in outdoor environments which usually provide stable GNSS signals, the compute-light visual inertial odometry (VIO) algorithm or LiDAR odometry coupled with GNSS signals achieves the best accuracy and performance. In contrast, in unknown, unmapped indoor environments, a LiDAR or visual Simultaneous Localization and Mapping (SLAM) algorithm delivers the best accuracy [11]. Nonetheless, different localization algorithms often incur different latencies, and even worse, latency variations.…”
Section: Area 4: the Localization Systemmentioning
confidence: 99%