2017
DOI: 10.1007/978-3-319-62024-4_4
|View full text |Cite
|
Sign up to set email alerts
|

Energy Optimization of Unrolled Block Ciphers Using Combinational Checkpointing

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
3
0

Year Published

2017
2017
2022
2022

Publication Types

Select...
2
2

Relationship

2
2

Authors

Journals

citations
Cited by 4 publications
(3 citation statements)
references
References 14 publications
0
3
0
Order By: Relevance
“…The use of glitch filtering to reduce ASIC power has previously been explored [4,10,21]. For glitch filtering, ASICs provide a simpler platform than FPGAs since clock generation is more straightforward and delays can be tightly controlled.…”
Section: Glitches and Glitch Filteringmentioning
confidence: 99%
“…The use of glitch filtering to reduce ASIC power has previously been explored [4,10,21]. For glitch filtering, ASICs provide a simpler platform than FPGAs since clock generation is more straightforward and delays can be tightly controlled.…”
Section: Glitches and Glitch Filteringmentioning
confidence: 99%
“…The technique proposed in [18] Combinational checkpointing proposed in [10] uses latch based glitch filters to reduce glitch propagation in unrolled block ciphers. This technique is targeted to application specific integrated circuits (ASICs) and cannot be directly applied to FP-GAs.…”
Section: Thesis Outlinementioning
confidence: 99%
“…We implemented glitch filtering on AES-256 and SIMON-128 block ciphers and a bitonic sort algorithm. Figure 3.1 [10] shows glitch filter approach for unrolled block ciphers. Figure 3.1: Unrolled block cipher with latch-based glitch filtering [10] The block cipher input is provided from a launch register from where it goes through one or more encryption rounds.…”
Section: Glitch Filter Operationmentioning
confidence: 99%