2014
DOI: 10.1007/s11227-014-1236-4
|View full text |Cite
|
Sign up to set email alerts
|

Energy measurement, modeling, and prediction for processors with frequency scaling

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
16
0

Year Published

2015
2015
2021
2021

Publication Types

Select...
3
3

Relationship

1
5

Authors

Journals

citations
Cited by 32 publications
(16 citation statements)
references
References 30 publications
0
16
0
Order By: Relevance
“…The programs from the SPEC benchmarks have been chosen, since they reflect, by design, the performance, energy, and execution time behavior of real‐world programs. For the energy measurements, the likwid tool‐set has been used; see the work of Rauber et al for a detailed description of the measurement technique and a validation of the energy consumption data obtained. Based on these measurements, P stat = 5.5 W has been determined using the model from the work of Rauber and Rünger with linear regression.…”
Section: Experimental Evaluationmentioning
confidence: 99%
“…The programs from the SPEC benchmarks have been chosen, since they reflect, by design, the performance, energy, and execution time behavior of real‐world programs. For the energy measurements, the likwid tool‐set has been used; see the work of Rauber et al for a detailed description of the measurement technique and a validation of the energy consumption data obtained. Based on these measurements, P stat = 5.5 W has been determined using the model from the work of Rauber and Rünger with linear regression.…”
Section: Experimental Evaluationmentioning
confidence: 99%
“…Typically, the power consumption varies during the execution time of the application, depending on the specific execution situation of the application and the resulting usage of the different execution resources. The variations of the power consumption during the execution time can be measured in detail with specialized power meters and power acquisition systems [90] (see Section 2.2), but hardware counters can be used as well (e.g. Intel RAPL interface).…”
Section: Energy Characteristics Of Algorithmsmentioning
confidence: 99%
“…However, the specific interaction of computation and power consumption is Figure 1. SPEC CPU2006 floating-point benchmarks on an Intel Core i7 Haswell processor: energy consumption (left), and power consumption (right) for varying frequencies [90] complex and it is challenging to predict which algorithmic properties lead to which amount of power consumption at a specific point in the execution time.…”
Section: Energy Characteristics Of Algorithmsmentioning
confidence: 99%
See 2 more Smart Citations