ICT - Energy Concepts for Energy Efficiency and Sustainability 2017
DOI: 10.5772/66678
|View full text |Cite
|
Sign up to set email alerts
|

Energy Challenges for ICT

Abstract: The energy consumption from the expanding use of information and communications technology (ICT) is unsustainable with present drivers, and it will impact heavily on the future climate change. However, ICT devices have the potential to contribute significantly to the reduction of CO 2 emission and enhance resource efficiency in other sectors, e.g., transportation (through intelligent transportation and advanced driver assistance systems and self-driving vehicles), heating (through smart building control), and … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

1
23
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
4
2
2

Relationship

1
7

Authors

Journals

citations
Cited by 31 publications
(24 citation statements)
references
References 36 publications
1
23
0
Order By: Relevance
“…Further progress in this direction is thwarted by the amount of energy dissipated during switch operations: the resulting power density for these switches at maximum packing density would be on the order of 1 MW/cm 2 , orders of magnitude higher than the practical air-cooling limit. [1] There is little doubt that managing efficiently the use of energy, i.e., drastically reducing heat production, is a key aspect to be considered in designing future computing systems, especially for applications in smart sensors and Internet of Things devices, where the small dimension and the mobility characteristics require innovative solutions [2].…”
Section: Introductionmentioning
confidence: 99%
“…Further progress in this direction is thwarted by the amount of energy dissipated during switch operations: the resulting power density for these switches at maximum packing density would be on the order of 1 MW/cm 2 , orders of magnitude higher than the practical air-cooling limit. [1] There is little doubt that managing efficiently the use of energy, i.e., drastically reducing heat production, is a key aspect to be considered in designing future computing systems, especially for applications in smart sensors and Internet of Things devices, where the small dimension and the mobility characteristics require innovative solutions [2].…”
Section: Introductionmentioning
confidence: 99%
“…it indicates that with the increasing number of transistors (see also Fig. 2.5), the total power of microprocessor units is still increasing over time despite the reduction in power density [10].…”
Section: Rate Monotonic Scheduling (Rms) and Earliest Deadline First mentioning
confidence: 95%
“…Equally important, lowpower devices such as embedded systems, either deployed using single-core or multi-core platforms, are being driven by applications such as video streaming and sensor data processing, showing an increase in peak power dissipation. Thus, some modern low-power microprocessors may offer operating modes consuming low power, but the more and more computationally intensive tasks require them to signicantly increase the power consumption [10]. As a result, it can also be seen in Fig.…”
Section: Rate Monotonic Scheduling (Rms) and Earliest Deadline First mentioning
confidence: 99%
See 1 more Smart Citation
“…Yet, in the era of information, the demand is increasing more than ever before. Looking at the information and communication technology (ICT) sector only, it represents 4-6% of the global power consumption in 2017 with a predicted increase up to 20% by 2030 [11].…”
mentioning
confidence: 99%