Photomask Technology 2020 2020
DOI: 10.1117/12.2579729
|View full text |Cite
|
Sign up to set email alerts
|

Enabling faster VSB writing of 193i curvilinear ILT masks that improve wafer process windows for advanced memory applications

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
6
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
4
3

Relationship

2
5

Authors

Journals

citations
Cited by 8 publications
(6 citation statements)
references
References 0 publications
0
6
0
Order By: Relevance
“…This work was funded by the institutes for which the authors work. Furthermore, this paper has been revised and updated based on our previous SPIE Proceedings paper presented at SPIE Photomask Technology 2020 30 , 31 …”
Section: Acknowledgmentsmentioning
confidence: 99%
“…This work was funded by the institutes for which the authors work. Furthermore, this paper has been revised and updated based on our previous SPIE Proceedings paper presented at SPIE Photomask Technology 2020 30 , 31 …”
Section: Acknowledgmentsmentioning
confidence: 99%
“…Despite its significant advantages, the widespread adoption of ILT is still hindered by the need for complex calculations and the challenge of writing curved-shaped masks 1 . 2 To address these challenges, several studies have proposed ILT methods that adhere to Mask Rule Constraints (MRC) 3 . 4 This approach simplifies curved shapes into "Manhattanized" rectangular shapes, thereby enhancing the manufacturability of masks.…”
Section: Introductionmentioning
confidence: 99%
“…As lithography moves deeper into the regime of low k1-factor, resolution enhancement technology # These authors contributed equally to this work *Jiangliu.Shi@bjsamt.org.cn (RET) has been widely utilized to deliver a production-worthy patterning solution. In recent years, Inverse Lithography Technology (ILT), a new Optical Proximity Correction (OPC) technique, has been widely developed in advanced Logic and Memory productions [1][2][3], offering further improved imaging performance. Compared to the conventional OPC, ILT enables a better process window (PW) with reduced edge placement error (EPE) and increased wafer critical dimension uniformity (CDU) [2][3][4] etc.…”
Section: Introductionmentioning
confidence: 99%
“…In recent years, Inverse Lithography Technology (ILT), a new Optical Proximity Correction (OPC) technique, has been widely developed in advanced Logic and Memory productions [1][2][3], offering further improved imaging performance. Compared to the conventional OPC, ILT enables a better process window (PW) with reduced edge placement error (EPE) and increased wafer critical dimension uniformity (CDU) [2][3][4] etc. However, one of the biggest ILT challenges is that for nonrectilinear mask shapes, the mask writing is extremely complex and slow [5], which can potentially produce mask manufacturing errors.…”
Section: Introductionmentioning
confidence: 99%