The platform will undergo maintenance on Sep 14 at about 7:45 AM EST and will be unavailable for approximately 2 hours.
2013
DOI: 10.1117/1.jmm.12.3.031108
|View full text |Cite
|
Sign up to set email alerts
|

Electron multibeam technology for mask and wafer writing at 0.1 nm address grid

Abstract: Abstract. IMS Nanofabrication realized a 50 keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1 nm address grid and lithography performance capability. The POC system achieves the predicted 5 nm 1 sigma blur across the 82 μm × 82 μm array of 512 × 512 (262,144) programmable 20 nm beams. 24-nm half pitch (HP) has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11-nm HP mask node is schedul… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
16
0

Year Published

2013
2013
2024
2024

Publication Types

Select...
5
3
1

Relationship

1
8

Authors

Journals

citations
Cited by 42 publications
(16 citation statements)
references
References 13 publications
0
16
0
Order By: Relevance
“…However, substantial exposure doses from tens to several thousands of μC/cm 2 must be accumulated depending on the resists used and the process itself. At the moment, low-current serial exposures bring throughput limitations to EBL and FIB patterning; nonetheless, multibeam systems are being developed to overcome these constrains and to offer highresolution large-area exposures within reasonable timeframes [30,31].…”
Section: Limits Of Materials Modification/damagementioning
confidence: 99%
“…However, substantial exposure doses from tens to several thousands of μC/cm 2 must be accumulated depending on the resists used and the process itself. At the moment, low-current serial exposures bring throughput limitations to EBL and FIB patterning; nonetheless, multibeam systems are being developed to overcome these constrains and to offer highresolution large-area exposures within reasonable timeframes [30,31].…”
Section: Limits Of Materials Modification/damagementioning
confidence: 99%
“…Even so, we expect that mask-writing technology will improve dramatically through the use of multibeam mask writers. [27][28][29] …”
Section: Discussionmentioning
confidence: 99%
“…To make direct write practicable for wafers, significant developments in productivity will be required. In recent years, some progresses have been reported including MAPPER (a 5 kV raster wafer writer) [44], IMS (50 kV raster mask writer, single source, many spots in single lens field) [45] and multibeam wafer writer [46]. These are the promising solutions in exposure cost reduction for 20-nm half pitch and beyond.…”
Section: Status and Challengesmentioning
confidence: 99%