2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines 2015
DOI: 10.1109/fccm.2015.15
|View full text |Cite
|
Sign up to set email alerts
|

Efficient Overlay Architecture Based on DSP Blocks

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
67
0

Year Published

2016
2016
2020
2020

Publication Types

Select...
5
1

Relationship

0
6

Authors

Journals

citations
Cited by 46 publications
(67 citation statements)
references
References 9 publications
0
67
0
Order By: Relevance
“…Previous work has demonstrated that the DSPrich FPGA fabrics in modern devices can support general purpose processing at near to DSP block theoretical limits [13], [14]. This has resulted in the development of overlays with improved frequency and throughput [8], [12], however, these overlays still suffer from significant resource overheads, specifically in the routing network. While techniques such as runtime LUT content manipulation [15] and interconnect multiplexing [16] can reduce routing network overheads, they become unsuitable as the overlay frequency approaches the theoretical limit of the FPGA fabric.…”
Section: Introduction and Related Workmentioning
confidence: 99%
See 4 more Smart Citations
“…Previous work has demonstrated that the DSPrich FPGA fabrics in modern devices can support general purpose processing at near to DSP block theoretical limits [13], [14]. This has resulted in the development of overlays with improved frequency and throughput [8], [12], however, these overlays still suffer from significant resource overheads, specifically in the routing network. While techniques such as runtime LUT content manipulation [15] and interconnect multiplexing [16] can reduce routing network overheads, they become unsuitable as the overlay frequency approaches the theoretical limit of the FPGA fabric.…”
Section: Introduction and Related Workmentioning
confidence: 99%
“…As FPGAs have increased in size, these benchmarks are no longer sufficient to fully test newer more efficient overlays. We have compiled a benchmark set (shown in Table I) containing a number of compute kernels from the literature [12], [18], [19], [20]. Table I shows the characteristics of the kernels after extracting the data flow graphs (DFGs), including the number of I/O nodes, graph edges, operation nodes, average parallelism, graph depth, and graph width.…”
Section: Analysis Of Compute Kernelsmentioning
confidence: 99%
See 3 more Smart Citations