Proceedings of the 33rd Annual ACM/IEEE International Symposium on Microarchitecture 2000
DOI: 10.1145/360128.360145
|View full text |Cite
|
Sign up to set email alerts
|

Efficient conditional operations for data-parallel architectures

Abstract: Many data-parallel applications, including emerging media applications, have regular structures that can easily be expressed as a series of arithmetic kernels operating on data streams. Data-parallel architectures are designed to exploit this regularity by performing the same operation on many data elements concurrently. However, applications containing data-dependent control constructs perform poorly on these architectures. Conditional streams convert these constructs into data-dependent data movement. This a… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

1
19
0

Year Published

2002
2002
2015
2015

Publication Types

Select...
4
3
2

Relationship

0
9

Authors

Journals

citations
Cited by 58 publications
(20 citation statements)
references
References 12 publications
1
19
0
Order By: Relevance
“…Smith et al also provide a good summary of performance comparisons among various vector ISAs that incorporate conditional operations. An idea similar to the above was developed for stream processors by Kapasi et al [17].…”
Section: Related Workmentioning
confidence: 99%
“…Smith et al also provide a good summary of performance comparisons among various vector ISAs that incorporate conditional operations. An idea similar to the above was developed for stream processors by Kapasi et al [17].…”
Section: Related Workmentioning
confidence: 99%
“…Purcell et al [5] have presented an implementation of bitonic merge sort on GPUs based on an implementation by Kapasi et al [6]. Kipfer et al [7,8] have shown an improved version of the bitonic sort as well as an odd-even merge sort.…”
Section: Introductionmentioning
confidence: 99%
“…Note that in this algorithm, conditional input and output streams are used to selectively fetch the correct number of row elements and properly output the result only when the row computation is complete. Since the kernel language has extremely restricted conditional syntax, conditional streaming [13] allows a number of important operations, such as handling data-dependent control constructs, merging or appending streams, and limited load balancing.…”
Section: Imagine Implementationmentioning
confidence: 99%