Proceedings of the 27th Annual International Symposium on Computer Architecture - ISCA '00 2000
DOI: 10.1145/339647.339705
|View full text |Cite
|
Sign up to set email alerts
|

Early load address resolution via register tracking

Abstract: Higher microprocessor frequencies accentuate the performance cost of memory accesses. This is especially noticeable in the Intel's IA32 architecture where lack of registers results in increased number of memory accesses. This paper presents novel, non-speculative technique that partially hides the increasing loadto-use latency, by allowing the early issue of load instructions. Early load address resolution relies on register tracking to safely compute the addresses of memory references in the front-end part of… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
13
0

Year Published

2001
2001
2017
2017

Publication Types

Select...
4
2
2

Relationship

0
8

Authors

Journals

citations
Cited by 26 publications
(13 citation statements)
references
References 24 publications
(15 reference statements)
0
13
0
Order By: Relevance
“…Register tracking [2] uses the rename stage to fold stack-pointer-immediate additions and create a fast, speculative address-generation and issue path for stack loads. Unlike RENO, register tracking does not optimize the main instruction stream inline.…”
Section: Related Workmentioning
confidence: 99%
“…Register tracking [2] uses the rename stage to fold stack-pointer-immediate additions and create a fast, speculative address-generation and issue path for stack loads. Unlike RENO, register tracking does not optimize the main instruction stream inline.…”
Section: Related Workmentioning
confidence: 99%
“…Austin and Sohi [14] proposed overlapping effective address computation with cache access with the help of special circuits and software support. Bekerman, et al [6] proposed tracking certain registers and immediate values to calculate a load's effective address earlier in the pipeline. We believe that a combination of these techniques along with the effective address predictors we studied in this paper will lead to good effective address prediction rates.…”
Section: Related Workmentioning
confidence: 99%
“…Consequently, we need to detect stack references. A good way to do so has been proposed by Bekerman et al [3]. In the decode stage, instructions that use the stack pointer are identified.…”
Section: It Is Easy To Track Whether or Not A Variable Is Initializedmentioning
confidence: 99%
“…With this solution, only the accesses redirected by the snooping mechanism to the SSC require one additional cycle. According to [3], only 1.2% of the accesses need any redirection.…”
Section: It Is Easy To Track Whether or Not A Variable Is Initializedmentioning
confidence: 99%