Proceedings of the Seventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis 2011
DOI: 10.1145/2039370.2039387
|View full text |Cite
|
Sign up to set email alerts
|

Dynamic, multi-core cache coherence architecture for power-sensitive mobile processors

Abstract: Today, mobile smartphones are expected to be able to run the same complex, memory-intensive applications that were originally designed and coded for general-purpose processors. However, these mobile processors are also expected to be compact, ultra-portable, and provide an always-on, continuous data access paradigm necessitating a low-power design. As mobile processors increasingly begin to leverage multicore functionality, the power consumption incurred from maintaining coherence between local caches due to b… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
4
0

Year Published

2014
2014
2019
2019

Publication Types

Select...
4
3
1

Relationship

0
8

Authors

Journals

citations
Cited by 11 publications
(4 citation statements)
references
References 17 publications
0
4
0
Order By: Relevance
“…Some of the proposed CMP designs implement multilevel cache systems with private hierarchy [7]. Other proposed designs implement systems with private L1 caches and shared L2 caches [9][10][11]. Other designs implement cache systems with two private levels and a third shared level among all cores [6,12].…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Some of the proposed CMP designs implement multilevel cache systems with private hierarchy [7]. Other proposed designs implement systems with private L1 caches and shared L2 caches [9][10][11]. Other designs implement cache systems with two private levels and a third shared level among all cores [6,12].…”
Section: Related Workmentioning
confidence: 99%
“…However, other proposed papers in this field say that write-through protocol perform well to ensure data consistent with drawback of higher write traffic as compared to write-back, since writethrough requires synchronous updates for every write [14,16]. [9] explores a novel approach to mitigating multicore power consumption by using dynamic application memory behavior. The main notice is that using only write-through policy causes more contention on the bus.…”
Section: Related Workmentioning
confidence: 99%
“…In MCSoC, the most common cache coherency protocol used is the MESI protocol. But since the MESI protocol is most commonly used in MCSoC system, that may give rise to bandwidth loss over snoopy bus, and for that reason, Bournoutian [9] of the University of California, San Diego, proposed in 2011, a powerful optimized mixture of write-back and write-through MESI protocol with an extra hardware modification in order to increase performance and power efficiency of the mobile processors. In the proposal, write-back is used when there are numerous updates on the cache line and that gives more performance, whereas write-through is used in order to achieve power optimization.…”
Section: Recent Research and Advancement In Cache Coherency Protocolsmentioning
confidence: 99%
“…Experts believe that coherent cache architectures will not scale to hundreds and thousands of cores [11,12,16,25], not only because the hardware overheads of providing coherency increases rapidly with core count, but also because caches consume a lot of power. One promising option for a more powerefficient and scalable memory hierarchy is to use raw, "uncached" memory (commonly known as Scratch Pad Memory or SPM) in the cores.…”
Section: Introductionmentioning
confidence: 99%