2013
DOI: 10.4071/isom-2013-thp36
|View full text |Cite
|
Sign up to set email alerts
|

Droplet-on-demand Inkjet-filled Through-silicon Vias (TSVs) as a Pathway to Cost-efficient Chip Stacking

Abstract: Using metal nanoparticle-based inks and droplet-on-demand inkjet printing, we have demonstrated the ability to fully fill, bump, and bond through-silicon vias (TSVs). Standard thermo-compression flip-chip bonding is used to provide both robust mechanical as well as electrical contact while processing below conventional back-end-of-line (BEOL) thermal budgets. This novel process enables both the filling and bumping of TSVs in a single process step, making this process unique among conventional TSV process flows… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2015
2015
2024
2024

Publication Types

Select...
4
1

Relationship

0
5

Authors

Journals

citations
Cited by 5 publications
(1 citation statement)
references
References 5 publications
0
1
0
Order By: Relevance
“…Previously, inkjet printing was used to metallize or fill the vias partially [7][8][9] or completely [10,11]. The drop-size defines the minimum via diameter that can be filled by inkjet.…”
Section: Introductionmentioning
confidence: 99%
“…Previously, inkjet printing was used to metallize or fill the vias partially [7][8][9] or completely [10,11]. The drop-size defines the minimum via diameter that can be filled by inkjet.…”
Section: Introductionmentioning
confidence: 99%