2020 IEEE International Symposium on High Performance Computer Architecture (HPCA) 2020
DOI: 10.1109/hpca47549.2020.00028
|View full text |Cite
|
Sign up to set email alerts
|

Domain-Specialized Cache Management for Graph Analytics

Abstract: Graph analytics power a range of applications in areas as diverse as finance, networking and business logistics. A common property of graphs used in the domain of graph analytics is a power-law distribution of vertex connectivity, wherein a small number of vertices are responsible for a high fraction of all connections in the graph. These richly-connected, hot, vertices inherently exhibit high reuse. However, this work finds that state-of-the-art hardware cache management schemes struggle in capitalizing on th… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
7
0

Year Published

2021
2021
2023
2023

Publication Types

Select...
4
2
2

Relationship

0
8

Authors

Journals

citations
Cited by 29 publications
(7 citation statements)
references
References 59 publications
0
7
0
Order By: Relevance
“…It shows that TDGraph-H consistently outperforms the other schemes as the LLC size increases. Moreover, compared with the other LLC management methods, i.e., LRU [27], DRRIP [25], and P-OPT [9], TDGraph-H achieves better performance when GRASP [19] is employed. This is because GRASP can efficiently prevent the coalesced states of the frequently-accessed vertices from cache thrashing.…”
Section: Sensitivity Studiesmentioning
confidence: 99%
See 2 more Smart Citations
“…It shows that TDGraph-H consistently outperforms the other schemes as the LLC size increases. Moreover, compared with the other LLC management methods, i.e., LRU [27], DRRIP [25], and P-OPT [9], TDGraph-H achieves better performance when GRASP [19] is employed. This is because GRASP can efficiently prevent the coalesced states of the frequently-accessed vertices from cache thrashing.…”
Section: Sensitivity Studiesmentioning
confidence: 99%
“…A number of hardware techniques have been developed to augment the many-core processor for efficient graph processing. GRASP [19] utilizes a domain-specialized cache policy to protect hot vertices against cache thrashing. P-OPT [9] is further designed to use the graph's transpose to ensure Belady's optimal cache replacement for graph processing.…”
Section: Hardware Support For Graph Processingmentioning
confidence: 99%
See 1 more Smart Citation
“…This optimization turns skew from problem to opportunity. This is not a surprise: researches have repeatedly observed that skew is a form of locality, and as such it can be leveraged to increase performance [15,18,43,45].…”
Section: Related Workmentioning
confidence: 99%
“…Ozdal et al [51] designed dedicated caches for different types of graph data according to the access characteristics. In addition, recent studies aim at exposing flexibility [51][52][53] and releasing productivity [51,54,55] for ASIC-based graph accelerators.…”
Section: Introductionmentioning
confidence: 99%