2009 International Test Conference 2009
DOI: 10.1109/test.2009.5355572
|View full text |Cite
|
Sign up to set email alerts
|

Doing more with less - An IEEE 1149.7 embedded tutorial : Standard for reduced-pin and enhanced-functionality test access port and boundary-scan architecture

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2011
2011
2017
2017

Publication Types

Select...
3
2
1

Relationship

0
6

Authors

Journals

citations
Cited by 11 publications
(2 citation statements)
references
References 0 publications
0
2
0
Order By: Relevance
“…In addition to allowing serial connection of multiple cores, the standard introduces two‐wire and four‐wire star configurations. As described by Ley [26] this standard is of particular benefit for SoC or system‐in‐package designs. Enhancements such as: reducing I/O to just two pins, using a star topology, the concept of 1149.7 being an ‘adaptor’ for existing 1149.1 TAPs, and the introduction of hierarchy, ease integration challenges.…”
Section: Standard Interfacesmentioning
confidence: 99%
“…In addition to allowing serial connection of multiple cores, the standard introduces two‐wire and four‐wire star configurations. As described by Ley [26] this standard is of particular benefit for SoC or system‐in‐package designs. Enhancements such as: reducing I/O to just two pins, using a star topology, the concept of 1149.7 being an ‘adaptor’ for existing 1149.1 TAPs, and the introduction of hierarchy, ease integration challenges.…”
Section: Standard Interfacesmentioning
confidence: 99%
“…In recognition of the need for a more powerful test standard, compatible with all the investment made in 1149.1, the IEEE 1149.7 Standard for Reduced-Pin and Enhanced-Functionality Test Access Port and Boundary-Scan Architecture was approved in 2009 [2], and offered a far more powerful solution to test and applications debug. The development of solutions that demonstrate its ability to coexist with 1149.1 applications, while at the same time supporting the more powerful scan transfer formats required for debugging multi-core architectures, represents a fundamental step to unlock the power of the new standard and to promote its wider acceptance [3]- [5]. This paper presents a microprogrammed architecture for an embedded coprocessor dedicated to IEEE 1149.1/ 1149.7 test operations.…”
Section: Introductionmentioning
confidence: 99%