2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) 2017
DOI: 10.1109/ispass.2017.7975287
|View full text |Cite
|
Sign up to set email alerts
|

dist-gem5: Distributed simulation of computer clusters

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
10
0

Year Published

2019
2019
2024
2024

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 27 publications
(10 citation statements)
references
References 16 publications
0
10
0
Order By: Relevance
“…Furthermore, the simulation of both systems is executed within the same thread; thus, a serious performance penalty is triggered while no synchronization primitives between the two systems are provided. (Recently, authors in Mohammad et al [2017] provide a distributed GEM5 version that supports only ARM-based processors and a simplistic network model. )…”
Section: Supporting Parallel/distributedmentioning
confidence: 99%
See 1 more Smart Citation
“…Furthermore, the simulation of both systems is executed within the same thread; thus, a serious performance penalty is triggered while no synchronization primitives between the two systems are provided. (Recently, authors in Mohammad et al [2017] provide a distributed GEM5 version that supports only ARM-based processors and a simplistic network model. )…”
Section: Supporting Parallel/distributedmentioning
confidence: 99%
“…both for academic/research and commercial purposes makes the design and deployment of such systems and applications a very interesting and expanding area. In the HPC domain, there are certain tools such as those by Mohammad et al [2017], which model processing units in a cycle accurate way, while others (e.g., see Ahmed et al [2017]) that focus on the simulation of real networks; thus, the existing approaches either focus on providing high accurate results per node and more simplistic network models or they replace processing cycle-accurate simulation with application traces or functional modeling and focus on the network part. COSSIM goes beyond those approaches by combining successfully both aspects while providing additional functionality (such as testing the robustness of applications).…”
Section: Introductionmentioning
confidence: 99%
“…Dist-GEM5 tried to simulate several nodes using multiple simulation systems. This simulator uses TCP sockets as a channel for transfer of synchronization and data messages between a switch node and a full-system node, which enables to prevent data messages from avoiding synchronization messages (due to the strict ordering between TCP packets) [8].…”
Section: Dist-gem5mentioning
confidence: 99%
“…This simulator improves the checkpointing mechanism of its previous work pd-GEM5 and is strongly coupled with the Ethernet protocol. Dist-GEM5 can deliver a fast, scalable and detailed infrastructure of simulation for modelling and evaluating large computing groups [8].…”
Section: Dist-gem5mentioning
confidence: 99%
See 1 more Smart Citation