Proceedings of the 2020 on Great Lakes Symposium on VLSI 2020
DOI: 10.1145/3386263.3406951
|View full text |Cite
|
Sign up to set email alerts
|

Dimming Hybrid Caches to Assist in Temperature Control of Chip MultiProcessors

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2022
2022
2022
2022

Publication Types

Select...
1
1

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(1 citation statement)
references
References 14 publications
0
1
0
Order By: Relevance
“…These methods of solve the asymmetry of NVM are very valuable. There are also a lot of works [8,9,10,11,12,18,19,20,21,22] focusing on the research of STT-RAM-based cache. Komalan [22] obtains a smaller on-chip area and lower energy by adding a buffer between the CPU and L1 D-cache at the expense of 8% performance loss.…”
Section: Related Workmentioning
confidence: 99%
“…These methods of solve the asymmetry of NVM are very valuable. There are also a lot of works [8,9,10,11,12,18,19,20,21,22] focusing on the research of STT-RAM-based cache. Komalan [22] obtains a smaller on-chip area and lower energy by adding a buffer between the CPU and L1 D-cache at the expense of 8% performance loss.…”
Section: Related Workmentioning
confidence: 99%