2020
DOI: 10.1587/transele.2019ecp5016
|View full text |Cite
|
Sign up to set email alerts
|

DFE Error Propagation and FEC Interleaving for 400GbE PAM4 Electrical Lane

Abstract: This paper analyzes the effect of error propagation of decision feedback equalizer (DFE) for PAM4 based 400 Gb/s Ethernet. First, an analytic model for the error propagation is proposed to estimate the probability of different burst error length due to error propagation for PAM4 link system with multi-tap TX FFE (Feed Forward Equalizer) + RX DFE architecture. After calculating the symbol error rate (SER) and bit error rate (BER) based on the probability model, the theoretical analysis about the impact of diffe… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
0
0

Year Published

2023
2023
2023
2023

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
(4 citation statements)
references
References 11 publications
0
0
0
Order By: Relevance
“…Moreover, there are some mathematical operations, such as convolution and Fourier transform, in the signal transmission process. Through simulation, it can be obtained that, compared with the non-interleaving scheme in reference [15], PBM can achieve an interleaving gain of 0.35 dB at the BER of 10 −7 , as shown in Figure 9a. This shows that PBM can reduce more error symbols caused by the same burst errors than FOM, but fewer than PSM.…”
Section: Architecture and Analysismentioning
confidence: 99%
See 3 more Smart Citations
“…Moreover, there are some mathematical operations, such as convolution and Fourier transform, in the signal transmission process. Through simulation, it can be obtained that, compared with the non-interleaving scheme in reference [15], PBM can achieve an interleaving gain of 0.35 dB at the BER of 10 −7 , as shown in Figure 9a. This shows that PBM can reduce more error symbols caused by the same burst errors than FOM, but fewer than PSM.…”
Section: Architecture and Analysismentioning
confidence: 99%
“…Then, these interleaved data are aggregated through the multiplexer to further discrete errors and double the transmission rate. We have provided and theoretically explored the use of FEC Orthogonal bit Multiplexing (FOM), symbol Pre-interleaving Bit MUX (PBM) and symbol Pre-interleaving Symbol MUX (PSM) in detail [15]. The error-mitigation processes of non-interleaving and PBM are shown in Figure 7a,b, respectively.…”
Section: Architecture and Analysismentioning
confidence: 99%
See 2 more Smart Citations