2022
DOI: 10.3390/s22155760
|View full text |Cite
|
Sign up to set email alerts
|

Development of a Reliable High-Performance WLP for a SAW Device

Abstract: In this paper, we present wafer-level packaging technology for surface acoustic wave (SAW) filters with higher long-term reliability and better electrical performance. This article focuses on the package structure, fabrication processes, and reliability for the SAW filter wafer-level package (WLP). The key processes, including cavity wall (CW) dam formation through non-photosensitive film vias development using a laser drilling process, a redistribution layer (RDL), and ball-grid array formation are developed.… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Publication Types

Select...

Relationship

0
0

Authors

Journals

citations
Cited by 0 publications
references
References 22 publications
(36 reference statements)
0
0
0
Order By: Relevance

No citations

Set email alert for when this publication receives citations?