ASAP 2010 - 21st IEEE International Conference on Application-Specific Systems, Architectures and Processors 2010
DOI: 10.1109/asap.2010.5540812
|View full text |Cite
|
Sign up to set email alerts
|

Design space exploration for an embedded processor with flexible datapath interconnect

Abstract: The design of an embedded processor is dependent on the application domain. Traditionally, design solutions specific to an application domain have been available in three forms: VLIW-based DSP processors, ASICs and FPGAs; each respectively offering generality of application domain, energy efficiency and flexibility. However, while matching the application domain to the resources needed, the design space becomes huge. We present FlexTools, a tool framework built around the FlexCore architecture to evaluate perf… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
11
0

Year Published

2011
2011
2014
2014

Publication Types

Select...
7

Relationship

1
6

Authors

Journals

citations
Cited by 9 publications
(11 citation statements)
references
References 17 publications
0
11
0
Order By: Relevance
“…After these improvements, TTAs might become preferable to VLIWs in terms of code density, at least in dense inner loops. Moreover, we are experimenting with pruning bypass connections using an optimization pass similar to [12]. This might yield noticeable energy savings since the IC is on most critical paths.…”
Section: Resultsmentioning
confidence: 98%
See 2 more Smart Citations
“…After these improvements, TTAs might become preferable to VLIWs in terms of code density, at least in dense inner loops. Moreover, we are experimenting with pruning bypass connections using an optimization pass similar to [12]. This might yield noticeable energy savings since the IC is on most critical paths.…”
Section: Resultsmentioning
confidence: 98%
“…Hoang et al use design space exploration to prune the IC network in the FlexCore exposed-datapath architecture [12]. The crossbar IC of FlexCore is similar to our VLIW-TTA starting point.…”
Section: Related Workmentioning
confidence: 98%
See 1 more Smart Citation
“…If the exploration cycles for the time being are excluded from consideration, some of the design space exploration (DSE) flows mainly focus on one certain objective [3], [6], [19], [20] while some others concern more [1], [2], [7], [14]- [18], [21], [22]. L. Bossuet, G. Gogniat and J.L.…”
Section: Related Workmentioning
confidence: 99%
“…As memory system is often a critical component for multiprocessor systems, [6] describes a fast, accurate technique to estimate an application's average memory latency on a set of memory hierarchies. [19] introduces FlexTools, a tool framework built around the FlexCore architecture to evaluate the system performance with special attention on energy efficiency for different applications. [3] explores algorithm partitioning and system architectures for exploitation of both data and task-level parallelism and include in their study the parameter of different types of memory architectures offered on an FPGA.…”
Section: Related Workmentioning
confidence: 99%