2023
DOI: 10.1149/2162-8777/ad1619
|View full text |Cite
|
Sign up to set email alerts
|

Design Considerations into Circuit Applications for Structurally Optimised FinFET

K. Sarangam,
Sresta Valasa,
Praveen Kumar Mudidhe
et al.

Abstract: FinFETs have gained a lot of demand in the family of multigate FET devices in the recent years. In this view, this manuscript aims to design different FinFET architectures to observe the analog and circuit performance. A total of five structures namely Conventional FinFET, Lightly doped S/D, Underlap FinFET, Single-k spacer, and Dual-k spacer FinFET has been designed and performance has been analysed. The best performance is obtained for dual-k spacer FinFET. Moreover, the dimensional variations such as gate l… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
2
0

Year Published

2024
2024
2024
2024

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(2 citation statements)
references
References 29 publications
(30 reference statements)
0
2
0
Order By: Relevance
“…Using dual-K spacers in the underlap region of FinFETs with tall and thin fins improve the analog performance of the device. A single stage CS amplifier simulated with the said device exhibited a gain of 1.8155 and proper 180°phase shift in the output [28]. A comprehensive study of TMD FinFETs presented in [29] states 25% improvement in I ON due to use of MoS 2 layer in Si fin.…”
Section: Finfetsmentioning
confidence: 96%
“…Using dual-K spacers in the underlap region of FinFETs with tall and thin fins improve the analog performance of the device. A single stage CS amplifier simulated with the said device exhibited a gain of 1.8155 and proper 180°phase shift in the output [28]. A comprehensive study of TMD FinFETs presented in [29] states 25% improvement in I ON due to use of MoS 2 layer in Si fin.…”
Section: Finfetsmentioning
confidence: 96%
“…As pitch sizes decrease, the need for enhanced fin structures with increased compactness, height, and slender design arises, posing concerns for both performance and manufacturing processes. [7][8][9] On the other hand, gate-all-around nanowire transistors (GAA NW-FETs) are expected to enhance device scaling due to their exceptional ability to control short channels and achieve high current density. GAA NW-FETs have emerged as a promising option for sub-7 nm technology nodes due to their superior electrostatic integrity compared to FinFETs and TFETs.…”
mentioning
confidence: 99%