2024
DOI: 10.1587/essfr.17.4_265
|View full text |Cite
|
Sign up to set email alerts
|

Design by Open Source Memory Compiler and its Potential

Shigetoshi NAKATAKE,
Chao GENG

Abstract: In this paper, we explore the potential that open innovation offers in semiconductor design. Specifically, we introduce OpenRAM, an tool for the automated synthesis of memory blocks using a general-purpose architecture. We also highlight both the benefits and challenges of open-source approaches by presenting a study on memory synthesis and memory circuit performance verification within the 0.6 µm CMOS manufacturing process. Additionally, we examine the potential of for research advancement, drawing on example… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Publication Types

Select...

Relationship

0
0

Authors

Journals

citations
Cited by 0 publications
references
References 14 publications
0
0
0
Order By: Relevance

No citations

Set email alert for when this publication receives citations?