2007
DOI: 10.1109/tasc.2007.898716
|View full text |Cite
|
Sign up to set email alerts
|

Design and Operation of RSFQ Cell Library Fabricated by Using a 10-${\rm kA/cm}^{2}$ Nb Technology

Abstract: A 10-kA cm 2 Nb AlO Nb junction technology for rapid single flux quantum (RSFQ) integrated circuits has been developed. Modifying our standard 1.6-kA cm 2 cell library, we have implemented elementary cells used to build RSFQ digital-to-analog converters for ac voltage standard applications. The 10-kA cm 2 library cells have been fabricated by utilizing existing process tools. Correct operations of the circuits have been confirmed at low speed. The maximum operating frequencies evaluated by an average-voltage m… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
0
0

Year Published

2010
2010
2024
2024

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 12 publications
(1 citation statement)
references
References 22 publications
0
0
0
Order By: Relevance
“…Standard cell libraries are an important component in designing very large-scale integrated circuits. While early cell libraries [21]- [23] were designed for manual or semi-manual SCE circuit design, modern SCE libraries focus on automated cell placement and interconnect routing tools, adhering to specific routing rules of EDA tools. During the initial years of the SuperTools program, Hypres developed a dual RSFQ/ERSFQ cell library [24][25] for the MIT-LL SFQ5ee 100µm/µm 2 fabrication process [26] that was used to design and experimentally validate circuits targeting high-speed operation [27] [28].…”
Section: Introductionmentioning
confidence: 99%
“…Standard cell libraries are an important component in designing very large-scale integrated circuits. While early cell libraries [21]- [23] were designed for manual or semi-manual SCE circuit design, modern SCE libraries focus on automated cell placement and interconnect routing tools, adhering to specific routing rules of EDA tools. During the initial years of the SuperTools program, Hypres developed a dual RSFQ/ERSFQ cell library [24][25] for the MIT-LL SFQ5ee 100µm/µm 2 fabrication process [26] that was used to design and experimentally validate circuits targeting high-speed operation [27] [28].…”
Section: Introductionmentioning
confidence: 99%