2017 IEEE International Symposium on Workload Characterization (IISWC) 2017
DOI: 10.1109/iiswc.2017.8167757
|View full text |Cite
|
Sign up to set email alerts
|

Demystifying the characteristics of 3D-stacked memories: A case study for Hybrid Memory Cube

Abstract: Abstract-Three-dimensional (3D)-stacking technology, which enables the integration of DRAM and logic dies, offers high bandwidth and low energy consumption. This technology also empowers new memory designs for executing tasks not traditionally associated with memories. A practical 3D-stacked memory is Hybrid Memory Cube (HMC), which provides significant access bandwidth and low power consumption in a small area. Although several studies have taken advantage of the novel architecture of HMC, its characteristics… Show more

Help me understand this report
View preprint versions

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

1
23
0
2

Year Published

2017
2017
2022
2022

Publication Types

Select...
7
2

Relationship

2
7

Authors

Journals

citations
Cited by 51 publications
(29 citation statements)
references
References 16 publications
1
23
0
2
Order By: Relevance
“…For instance, let the system have a lookup table for IP addresses lookup, where table entry of each IP address is allocated in a flat manner so that every lookup for a packet finishes with one memory access. Typical latency of single memory access to HMC is usually between 100-180 [ns] with an average of 125 [ns], according to [68], [69]. Thus we assume that the service rate of the 3D-stacked DRAM, µ, is 8 M services per second.…”
Section: Numerical Simulation Resultsmentioning
confidence: 99%
“…For instance, let the system have a lookup table for IP addresses lookup, where table entry of each IP address is allocated in a flat manner so that every lookup for a packet finishes with one memory access. Typical latency of single memory access to HMC is usually between 100-180 [ns] with an average of 125 [ns], according to [68], [69]. Thus we assume that the service rate of the 3D-stacked DRAM, µ, is 8 M services per second.…”
Section: Numerical Simulation Resultsmentioning
confidence: 99%
“…For example, let service rate µ be 8 M services per second, which is an estimate since typical latency inside the HMC itself is usually taken to be between 100-180 ns with average of 125 ns [27], [28], and let the traffic load be 1.2. Table 6 lists the calculation results of M/M/S/K, proposed architecture with Poisson arrival, and that with IPP arrival.…”
Section: Packet Processing Performancementioning
confidence: 99%
“…Note that monitoring logic measures aggregate latencies of the HMC controller, transceiver, data transmission on links, internal NoC, TSV transmission, and DRAM timings. Detailed studies of these latencies are performed in [18], upon which we build our new measurements.…”
Section: B Firmware and Softwarementioning
confidence: 99%
“…The number of active ports is a proxy for the requested bandwidth because it has a direct relationship with the number of issued requests with the GUPS implementation. Figure 13 HMC characterization also mentioned [18], the factor that limits the bandwidth utilization can be related to packetswitched network, such as the limited size of queues in the vault controller or DRAM layers. We analyze the reasons of saturation points by taking a deeper look at a vault controller, which is basically a stationary system, receiving requests with an arrival rate.…”
Section: F Requested and Response Bandwidth Analysismentioning
confidence: 99%