2007
DOI: 10.1117/12.713577
|View full text |Cite
|
Sign up to set email alerts
|

Defects, overlay, and focus performance improvements with five generations of immersion exposure systems

Abstract: This paper discusses the current performance and the evolution of five generations TWINSCAN immersion scanning exposure tools. It is shown that production worthy overlay and focus performance can be achieved at high scan speeds. The more critical part for immersion tools is related to defects, but also here improvements resulted in production worthy defect levels. In order to keep the defect level stable special measures are needed in the application of wafers. Especially Edge Bead Removal (EBR) design and waf… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
22
0

Year Published

2010
2010
2017
2017

Publication Types

Select...
6

Relationship

0
6

Authors

Journals

citations
Cited by 21 publications
(22 citation statements)
references
References 0 publications
0
22
0
Order By: Relevance
“…On partially wetting polycarbonate surfaces, we observed rupture and dewetting of the liquid films, a relevant phenomenon for coating technology [14] and immersion lithography [15][16][17]. We determined the density of dry spots as well as the density and size distribution of residual droplets as a function of the minimum film thickness.…”
Section: Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…On partially wetting polycarbonate surfaces, we observed rupture and dewetting of the liquid films, a relevant phenomenon for coating technology [14] and immersion lithography [15][16][17]. We determined the density of dry spots as well as the density and size distribution of residual droplets as a function of the minimum film thickness.…”
Section: Discussionmentioning
confidence: 99%
“…Consequently air-jets are employed to initiate the redistribution of liquid in continuous coating of chemically patterned surfaces [14]. In immersion lithography [15][16][17], a photoresist covered silicon wafer is exposed through a layer of water in order to increase the effective numerical aperture of the illumination system. Controlled air-flows are utilized to contain the water meniscus between the objective lens and a partially wetting wafer, which is moving at a relative speed of approximately 1 m/s.…”
Section: Introductionmentioning
confidence: 99%
“…The reader should note that non-optimized exposure routings and scan speeds at customer sites may cause an increase in density of immersion defects that are related to water leakage from the immersion hood (with respect to data presented in this paper) [12].…”
Section: A Defect Monitoringmentioning
confidence: 97%
“…be formed by contaminants mopped up by the immersion fluid when the immersion hood passed over the wafer edge. They could represent particles from the wafer edge (or peeling of the topcoat) [2], [12], [17], [18] or chuck (cross-contamination) [2], [17], [19]. Distributions II and III represent defects with sizes in the range of 1 -8 μm.…”
Section: A Size Distributions Of Immersion-specific Defectsmentioning
confidence: 99%
“…The lost water on the wafer surface becomes the potential cause for the defects on the printed pattern (Sanders 2010;Brandl et al 2006;Niwa et al 2005). Researchers are working to improve the liquid loss by varying the geometry of the needle (Riepen et al 2008), by introducing an air curtain to stabilize the meniscus (Mulkens et al 2007), varying the height between the lens and wafer etc.…”
Section: Introductionmentioning
confidence: 99%