DTCO and Computational Patterning II 2023
DOI: 10.1117/12.2663274
|View full text |Cite
|
Sign up to set email alerts
|

Curvilinear mask handling in OPC flow

Abstract: The edge-based OPC has been serving the industry for more than 20 years with few changes in the way to alter the mask. In the past 10 years, ILT pioneers in the creation of the curvilinear mask using alternate algorithms. The two approaches differ so much that the experiences in conventional OPC do not easily translate to the use of ILT and vice versa. In this paper, we report a new system for curvilinear OPC built on top of the conventional OPC workflow without being limited to moving edges. It creates and ma… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
6
0

Year Published

2024
2024
2024
2024

Publication Types

Select...
2
2

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(6 citation statements)
references
References 5 publications
0
6
0
Order By: Relevance
“…As shown in Figure 9, the influence of a given control point is overlapping with those of its neighbors. This way, the curve is naturally smooth 4 .…”
Section: B-splinementioning
confidence: 99%
See 1 more Smart Citation
“…As shown in Figure 9, the influence of a given control point is overlapping with those of its neighbors. This way, the curve is naturally smooth 4 .…”
Section: B-splinementioning
confidence: 99%
“…Regarding the runtime, the inverse lithography models would take a long time for producing a satisfying solution. Currently, advances in algorithms, such as AI (Artificial Intelligence), as well as on computing power with latest CPUs and GPUs, have mitigated this issue [2][3] [4]. The second limiting factor was the mask writing time.…”
Section: Introductionmentioning
confidence: 99%
“…20,21 The second barrier-full-chip ILT-has also been removed, by applying GPU acceleration and a stitchless full-chip approach. 22,23 1.3 Multi-Beam Mask Writer Enables Curvilinear Masks The mainstream mask writer, the variable shaped beam (VSB) mask writer, for the leading semiconductor manufacturing was invented to write Manhattan patterns. It writes masks using a single beam that can produce a rectangular shot and 45-degree triangle shot with variable dimensions.…”
Section: Curvilinear Ilt Masks Produce the Best Process Windowmentioning
confidence: 99%
“…A Bezier curve is a parametric curve used in computer graphics and related fields. A set of discrete "control points" defines a smooth, continuous curve by means of a formula, 23 as shown in Fig. 5.…”
Section: Full-chip Curvilinear Ilt Is Now a Practical Reality With Gp...mentioning
confidence: 99%
See 1 more Smart Citation