2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS) 2016
DOI: 10.1109/rtas.2016.7461327
|View full text |Cite
|
Sign up to set email alerts
|

Criticality- and Requirement-Aware Bus Arbitration for Multi-Core Mixed Criticality Systems

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
21
0

Year Published

2017
2017
2021
2021

Publication Types

Select...
8
1

Relationship

2
7

Authors

Journals

citations
Cited by 34 publications
(21 citation statements)
references
References 21 publications
0
21
0
Order By: Relevance
“…Their approach attempts to allow as much parallelism as possible, commensurate with the high-criticality tasks retaining their temporal validity. Hassan and Patel [2016] claim an improved bus arbitrator, called Carb, that is more criticality aware. Bounding the interference that a safety-critical task can suffer from lower criticality tasks using the same shared communication resources on a multi-core platform is also addressed by Nowotsch et al [2014].…”
Section: Communication and Other Resourcesmentioning
confidence: 99%
“…Their approach attempts to allow as much parallelism as possible, commensurate with the high-criticality tasks retaining their temporal validity. Hassan and Patel [2016] claim an improved bus arbitrator, called Carb, that is more criticality aware. Bounding the interference that a safety-critical task can suffer from lower criticality tasks using the same shared communication resources on a multi-core platform is also addressed by Nowotsch et al [2014].…”
Section: Communication and Other Resourcesmentioning
confidence: 99%
“…Hassan and Patel 27 introduced CArb, a con¯gurable arbiter of criticality and requirement awareness for dominating accesses to shared memories and buses in multicore MC systems. CArb employs two-tier WRR 77 arbitration to manage these accesses.…”
Section: Qos-oriented Techniques In MC Systemsmentioning
confidence: 99%
“…Accordingly, upon switching to the degraded mode, instead of abandoning them, their guarantees are only degraded. Another approach is followed by [12], where instead of directly switching the mode and suspending lower-critical tasks, the memory service guarantees of those tasks are degraded to reduce the interference on the highercritical to accommodate for the increase in the execution time. These approaches consider a system-wide mode switch, where all the system components and tasks migrate to the new mode.…”
Section: Issues With the Current Modelmentioning
confidence: 99%
“…There exist proposals to address this interference in multi-core MCS at the interconnect (e.g. [12], [15]), the shared cache (e.g. [18], [19]), and the shared DRAM (e.g.…”
Section: Shared Resources: Timing Interferencementioning
confidence: 99%