Proceedings of the 2005 Conference on Asia South Pacific Design Automation - ASP-DAC '05 2005
DOI: 10.1145/1120725.1120797
|View full text |Cite
|
Sign up to set email alerts
|

Constraint extraction for pseudo-functional scan-based delay testing

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4

Citation Types

0
33
0

Year Published

2007
2007
2017
2017

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 54 publications
(33 citation statements)
references
References 23 publications
0
33
0
Order By: Relevance
“…Functional broadside tests [4] ensure that the scan-in state is a state that the circuit can enter during functional operation, or a reachable state. As broadside tests [5], they operate the circuit in functional mode for two clock cycles after an initial state is scanned in.…”
Section: Iintroductionmentioning
confidence: 99%
See 4 more Smart Citations
“…Functional broadside tests [4] ensure that the scan-in state is a state that the circuit can enter during functional operation, or a reachable state. As broadside tests [5], they operate the circuit in functional mode for two clock cycles after an initial state is scanned in.…”
Section: Iintroductionmentioning
confidence: 99%
“…Test generation procedures for functional and pseudo-functional scan-based tests were described in [4] and [6]- [13]. The on-chip test generation process described in this work guarantees that only reachable states will be used.…”
Section: Iintroductionmentioning
confidence: 99%
See 3 more Smart Citations