2018
DOI: 10.4108/eai.27-6-2018.155236
|View full text |Cite
|
Sign up to set email alerts
|

Comparative Study on Power Gating Techniques for Lower Power Delay Product, Smaller Power Loss, Faster Wakeup Time

Abstract: The power gating is one of the most popular reduction leakage techniques. We make comparison among various power gating schemes in terms of power delay product, energy loss, and wake-up time using the 45-nm Predictive Technology Model. In my conclusion, the Dual-Switch Power Gating (DSPG) shows lower power delay product, smaller energy loss, faster wake-up time than the other power gating schemes such as the Single-Switch and Charge-Recycled Power Gating schemes. Based on these advantages, the DSPG is suggeste… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2019
2019
2019
2019

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(2 citation statements)
references
References 16 publications
(19 reference statements)
0
2
0
Order By: Relevance
“…This has been a critical topic for some time, and researchers have invented a range of power optimization techniques to address the issue. Different technologies have used techniques such as Power[66], [85], [42] and Clock gating [47], [45] at the hardware level to Parallezing or pipeling [3] at the software level to optimize power. Dynamic Power management [68], [87] and Dynamic Voltage and Frequency Techniques [41], [12] are mainly used in modern systems.…”
Section: L I S T O F Ta B L E Smentioning
confidence: 99%
See 1 more Smart Citation
“…This has been a critical topic for some time, and researchers have invented a range of power optimization techniques to address the issue. Different technologies have used techniques such as Power[66], [85], [42] and Clock gating [47], [45] at the hardware level to Parallezing or pipeling [3] at the software level to optimize power. Dynamic Power management [68], [87] and Dynamic Voltage and Frequency Techniques [41], [12] are mainly used in modern systems.…”
Section: L I S T O F Ta B L E Smentioning
confidence: 99%
“…7, VDD(Drain Voltage) is switched while VSS(Source Voltage) is provided directly to the entire chip. [85] examines several power gating approaches based on a variety of parameters and concludes that Dual-Switch Power Gating offers the most benefits. However, in order to maintain state and information during low power mode, all power gating systems require additional functionality.…”
Section: Power Optimization Techniques and Their Limitationsmentioning
confidence: 99%