MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture 2021
DOI: 10.1145/3466752.3480065
|View full text |Cite
|
Sign up to set email alerts
|

Cohmeleon: Learning-Based Orchestration of Accelerator Coherence in Heterogeneous SoCs

Help me understand this report
View preprint versions

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
3
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
4
2
1

Relationship

1
6

Authors

Journals

citations
Cited by 13 publications
(4 citation statements)
references
References 74 publications
0
3
0
Order By: Relevance
“…They have access to the physical memory of the system through a level of the memory hierarchy. Without loss of generality, we assume that they are connected to the LLC, which is located on the chip and shared between cores and acceleratorswhich is a common choice adopted by SoC designers [7], [8], [19], [22], [23]. They are equipped with a DMA controller to load/store data from/to the LLC without CPU intervention.…”
Section: A Architectural Referencementioning
confidence: 99%
See 1 more Smart Citation
“…They have access to the physical memory of the system through a level of the memory hierarchy. Without loss of generality, we assume that they are connected to the LLC, which is located on the chip and shared between cores and acceleratorswhich is a common choice adopted by SoC designers [7], [8], [19], [22], [23]. They are equipped with a DMA controller to load/store data from/to the LLC without CPU intervention.…”
Section: A Architectural Referencementioning
confidence: 99%
“…According (c) The module forwards the UIM to the mth core, which delegated to the accelerator the command that generated this user-space interrupt. to state-of-the-art, accelerator coherence (or lack of) in SoCs can be addressed in four different ways [23], [29]:…”
Section: A Memory Coherencymentioning
confidence: 99%
“…After it is started, the accelerator issues DMA requests, which are sent to the ESP memory hierarchy using one of four cache-coherence modes. The different cachecoherence modes operate completely transparently to the accelerator and can be selected at runtime based on the needs of the accelerator and the overall status of the system [23,40]. When the accelerator completes, it sends an interrupt that resumes the execution of the software thread that invoked it.…”
Section: The Esp Architecturementioning
confidence: 99%
“…Heterogeneous architectures are established in mobile and high-performance applications: silicon-proven industrial [6]- [8] and academic [9], [10] systems-on-chip (SoCs) typically target large power envelopes or multiple AC cores. However, heterogeneity is now penetrating the internet of things (IoT) extreme-edge and TinyML domains where power and cost constraints are extremely tight.…”
Section: Introduction With Koomey's Lawmentioning
confidence: 99%