2023
DOI: 10.1007/s10470-023-02190-8
|View full text |Cite
|
Sign up to set email alerts
|

Chronos-v: a many-core high-level model with support for management techniques

Iaçanã Ianiski Weber,
Angelo Elias Dal Zotto,
Fernando Gehm Moraes

Abstract: This work presents Chronos-V, a Many-Core System-on-Chip (MCSoC) that adopts abstract hardware modeling, executing the FreeRTOS Operating System (OS) at each processing element (PE). Chronos-V is a heterogeneous architecture with two regions: (i) General Purpose Processing Elements (GPPE), responsible for executing user applications; (ii) peripherals that provide IO capabilities or hardware acceleration to the system. Besides the standard goal of high-level models, design space exploration at early design stag… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
3
0

Year Published

2024
2024
2024
2024

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
(3 citation statements)
references
References 40 publications
0
3
0
Order By: Relevance
“…Chronos-V is the reference manycore platform [49], modeled with OVP [51]. Each PE contains a scratchpad memory, one router, a network interface with DMA capability, and a RISC-V 32IM core.…”
Section: Resultsmentioning
confidence: 99%
See 2 more Smart Citations
“…Chronos-V is the reference manycore platform [49], modeled with OVP [51]. Each PE contains a scratchpad memory, one router, a network interface with DMA capability, and a RISC-V 32IM core.…”
Section: Resultsmentioning
confidence: 99%
“…The layers of our manycore model. Dotted borders indicate centralized modules, while continuous borders delineate modules replicated across the system [49] The hardware layer corresponds to the physical components. The interface between the hardware and OS layers is done through interrupt signals and Memory-Mapped Registers (MMRs).…”
Section: Figmentioning
confidence: 99%
See 1 more Smart Citation