2014
DOI: 10.1149/2.0221501jss
|View full text |Cite
|
Sign up to set email alerts
|

Chip Packaging Interaction (CPI) with Cu Pillar Flip Chip for 20 nm Silicon Technology and Beyond

Abstract: Chip packaging interaction (CPI) has drawn great attention to advanced silicon technology nodes due to the introduction of Low-K (LK) and Ultra Low-K (ULK) materials in back end of line (BEOL) and Cu pillar in chip package interconnects. This paper summarizes GLOBALFOUNDRIES's activities in CPI studies for the 20 nm technology node, which includes CPI structure design, BEOL process characterization and optimization, assembly process optimization and reliability tests. The key issues and challenges were identif… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2015
2015
2023
2023

Publication Types

Select...
5
1

Relationship

1
5

Authors

Journals

citations
Cited by 6 publications
(2 citation statements)
references
References 11 publications
0
2
0
Order By: Relevance
“…To address thermo-mechanical stress induced CPI risk, CPI test vehicle (TV) was developed with GLOBALFOUNDRIES 20-nm platform BEOL stack (1 low-k layer, 5 ULK layers, 2 TEOS layers, and final aluminum pad) and copper pillar (Cu pillar) technology [5]. The die size of the CPI TV was 9x8.45 mm 2 and a 14x14 mm 2 BOL substrate was used for CPI qualification.…”
Section: Test Vehicle Descriptionmentioning
confidence: 99%
“…To address thermo-mechanical stress induced CPI risk, CPI test vehicle (TV) was developed with GLOBALFOUNDRIES 20-nm platform BEOL stack (1 low-k layer, 5 ULK layers, 2 TEOS layers, and final aluminum pad) and copper pillar (Cu pillar) technology [5]. The die size of the CPI TV was 9x8.45 mm 2 and a 14x14 mm 2 BOL substrate was used for CPI qualification.…”
Section: Test Vehicle Descriptionmentioning
confidence: 99%
“…For example, Wang et al [14][15][16] used four-point bending tests to obtain the fracture toughness of the Cu/low-k interface and used the modified virtual crack closure technique (MVCCT) in a 3D model to analyze the fracture of the BEoL stack. Gao et al [17] used experimental methods to study the failure of a 6-layer BEoL stack due to high temperature and moisture. They demonstrated that the capacitance of the device rose significantly, and failure occurred in the BEoL layer when it was subjected to a temperature higher than 400 • C in a high-humidity environment.…”
Section: Introductionmentioning
confidence: 99%