2021
DOI: 10.35848/1347-4065/abe47c
|View full text |Cite
|
Sign up to set email alerts
|

Characterization techniques of ion bombardment damage on electronic devices during plasma processing—plasma process-induced damage

Abstract: Plasma processing plays an important role in manufacturing leading-edge electronic devices such as ULSI circuits. Reactive ion etching achieves fine patterns with anisotropic features in metal-oxide-semiconductor field-effect transistors (MOSFETs). In contrast, it has been pointed out over the last four decades that plasma processes not only modify the surface morphology of materials but also degrade the performance and reliability of MOSFETs as a result of defect generation in materials such as crystalline Si… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
8
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
9

Relationship

2
7

Authors

Journals

citations
Cited by 13 publications
(8 citation statements)
references
References 168 publications
0
8
0
Order By: Relevance
“…71) In addition, the structures and functions were controlled, and material damage could be minimized. 79) To satisfy the requirements for controlling the feature profiles and reducing damage, all levels of the plasma, sheath, and surface reactions were characterized by quantitative measurements.…”
Section: 5mentioning
confidence: 99%
“…71) In addition, the structures and functions were controlled, and material damage could be minimized. 79) To satisfy the requirements for controlling the feature profiles and reducing damage, all levels of the plasma, sheath, and surface reactions were characterized by quantitative measurements.…”
Section: 5mentioning
confidence: 99%
“…The electrical properties of dielectric films modified through plasma exposure have been extensively investigated using ex situ measurement methods in terms of plasma processinduced damage. [13][14][15][16] DC-based current-voltage (I-V ) measurements have analyzed defect formation in dielectric films by comparing I-V curves before and after plasma exposure. [17][18][19] AC-based capacitance-voltage (C-V ) measurements for metalinsulator-semiconductor structures have analyzed accumulated charges trapped in the defects and dielectric-constant variations.…”
Section: Introductionmentioning
confidence: 99%
“…Therefore, dry etching of the freestanding SiN x membrane is effective for ultra-thinning. However, plasma irradiation may cause degradation to the mechanical properties by plasma-induced damage (PID) 9,10) to the SiN x film. This may reduce the pressure resistance (>1 atm) for liquids enclosed in UHV.…”
Section: Introductionmentioning
confidence: 99%
“…However, irradiation damage to SiN x film due to PID is unavoidable. 9,10) The gas cluster ion beam (GCIB) [27][28][29] is one of the most suitable techniques for the removal of the reaction layer. A GCIB is comprised of aggregates of several thousands of atoms, and the energy of the individual atoms in the GCIB can easily drop to several eV/atom with an acceleration voltage of several kV.…”
Section: Introductionmentioning
confidence: 99%