2013
DOI: 10.1117/1.jmm.12.4.043002
|View full text |Cite
|
Sign up to set email alerts
|

Characterization of wafer geometry and overlay error on silicon wafers with nonuniform stress

Abstract: Abstract. Process-induced overlay errors are a growing problem in meeting the ever-tightening overlay requirements for integrated circuit production. Although uniform process-induced stress is easily corrected, nonuniform stress across the wafer is much more problematic, often resulting in noncorrectable overlay errors. Measurements of the wafer geometry of free, unchucked wafers give a powerful method for characterization of such nonuniform stress-induced wafer distortions. Wafer geometry data can be related … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

2
33
0

Year Published

2014
2014
2024
2024

Publication Types

Select...
7
1

Relationship

0
8

Authors

Journals

citations
Cited by 45 publications
(35 citation statements)
references
References 11 publications
(16 reference statements)
2
33
0
Order By: Relevance
“…50.77 The compressive stresses are useful for counteracting the tensile stresses present in the low-k ILD from both a mechanical reliability perspective and from a more mundane wafer curvature perspective where overly bowed wafers can create wafer pick-up, chucking, and registration issues on manufacturing tools. 186 However, there are limitations to the amount of desirable compressive stress in a low-k DB material. At sufficiently high stresses, mechanical failure can also occur for a compressive film via out of plane buckling of the DB.…”
Section: -122mentioning
confidence: 99%
“…50.77 The compressive stresses are useful for counteracting the tensile stresses present in the low-k ILD from both a mechanical reliability perspective and from a more mundane wafer curvature perspective where overly bowed wafers can create wafer pick-up, chucking, and registration issues on manufacturing tools. 186 However, there are limitations to the amount of desirable compressive stress in a low-k DB material. At sufficiently high stresses, mechanical failure can also occur for a compressive film via out of plane buckling of the DB.…”
Section: -122mentioning
confidence: 99%
“…Overlay budgets in many processes are in the sub-10 nm regime today and are expected to shrink to 3 nm by 2016 [1,2]. Overlay errors can result from multiple sources including the exposure tool, reticle, and wafer processing.…”
Section: Introductionmentioning
confidence: 98%
“…This has changed as overlay error budgets have been reduced to the sub-10 nm regime. Several papers examining aspects of process induced overlay errors have been published in the past 5 years (e.g., [2,4,5]). In 2012, our team demonstrated that if a deposited film has a uniform residual stress that does not vary with location on the wafer then the induced distortions can be fully compensated for through the application of standard linear corrections in the scanner [5].…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…4,5 The monitoring and control of process induced wafer geometry change (PIWGC) is critical to achieving high device yield in advanced semiconductor manufacturing processes such as lithography, chemical mechanical polishing (CMP) and wafer bonding processes. [3][4][5][6][7][8][9][10][11][12] Conventional wafer supporting methods (3-or 4-point supports) are less suitable for flatness characterization of large diameter wafers due to effects of gravity. 4 Adverse effects of 3-point support methods and anisotropy on shape measurement accuracy was reported in detail.…”
mentioning
confidence: 99%