2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) 2020
DOI: 10.1109/micro50266.2020.00084
|View full text |Cite
|
Sign up to set email alerts
|

BOW: Breathing Operand Windows to Exploit Bypassing in GPUs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
4
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 10 publications
(4 citation statements)
references
References 50 publications
0
4
0
Order By: Relevance
“…In this section, we analyze the benefits and overheads of Malekeh and compare it with BOW [3] which has a sliding window of three instructions.…”
Section: Discussionmentioning
confidence: 99%
See 3 more Smart Citations
“…In this section, we analyze the benefits and overheads of Malekeh and compare it with BOW [3] which has a sliding window of three instructions.…”
Section: Discussionmentioning
confidence: 99%
“…The model includes the arbiter, crossbar, RF banks, and CCUs. We compare our work against BOW [3], a state-of-the-art proposal for RF caching in GPUs. BOW replaces OCUs with buffers that keep the source operand values of instructions in a sliding window.…”
Section: Methodsmentioning
confidence: 99%
See 2 more Smart Citations