2005
DOI: 10.1093/comjnl/bxh103
|View full text |Cite
|
Sign up to set email alerts
|

BLRL: Accurate and Efficient Warmup for Sampled Processor Simulation

Abstract: Current computer architecture research relies heavily on architectural simulation to obtain insight into the cycle-level behavior of modern microarchitectures. Unfortunately, such architectural simulations are extremely time-consuming. Sampling is an often-used technique to reduce the total simulation time. This is achieved by selecting a limited number of samples from a complete benchmark execution. One important issue with sampling, however, is the unknown hardware state at the beginning of each sample. Seve… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
31
0

Year Published

2005
2005
2016
2016

Publication Types

Select...
5
2

Relationship

0
7

Authors

Journals

citations
Cited by 39 publications
(31 citation statements)
references
References 20 publications
0
31
0
Order By: Relevance
“…Accelerating sampling: Different techniques are proposed to accelerate a sampling-based simulation such as native or hardware-accelerated methods(e.g., [9]), checkpoint based methods (e.g., [27]), or limited warmup (e.g., [11]). We implemented BLRL [11] but it did not reduce the simulation time in our setup (memory warmup is very fast in our setup, and smaller intervals reduce the speed of functional emulation, thereby diminishing the benefit).…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Accelerating sampling: Different techniques are proposed to accelerate a sampling-based simulation such as native or hardware-accelerated methods(e.g., [9]), checkpoint based methods (e.g., [27]), or limited warmup (e.g., [11]). We implemented BLRL [11] but it did not reduce the simulation time in our setup (memory warmup is very fast in our setup, and smaller intervals reduce the speed of functional emulation, thereby diminishing the benefit).…”
Section: Related Workmentioning
confidence: 99%
“…We implemented BLRL [11] but it did not reduce the simulation time in our setup (memory warmup is very fast in our setup, and smaller intervals reduce the speed of functional emulation, thereby diminishing the benefit). Ekman et al [12] use a matched-pair technique to reduce the number of samples comparing different architectures running multiprogram applications.…”
Section: Related Workmentioning
confidence: 99%
“…Warming in Multi-Threaded Simulations: Warming for single-threaded simulations has been extensively studied [1,2,12,13,14,30]. The technique used by the BarrierPoint methodology combines two existing methodologies, namely functional warming [13] and checkpointing [14].…”
Section: Related Workmentioning
confidence: 99%
“…For example, the architecture starting image (registers and memory state) can be set through fastforwarding or through checkpointing [26,28]; and the microarchitecture starting image (caches, branch predictors, etc.) can be estimated with microarchitecture state warmup techniques -there is a wealth of literature covering this area, see for example [5,8,12,19,26,28,29].…”
Section: Sampled Simulationmentioning
confidence: 99%