First International Symposium on Networks-on-Chip (NOCS'07) 2007
DOI: 10.1109/nocs.2007.14
|View full text |Cite
|
Sign up to set email alerts
|

Bi-Synchronous FIFO for Synchronous Circuit Communication Well Suited for Network-on-Chip in GALS Architectures

Abstract: The distribution of a synchronous clock in Systemon-Chip (SoC) has become a problem, because of wire length and process variation. Novel approaches such as the Globally Asynchronous, Locally Synchronous try to solve this issue by partitioning the SoC into isolated synchronous islands. This paper describes the bisynchronous FIFO used on the DSPIN Network-on-Chip capable to interface systems working with different clock signals (frequency and/or phase). Its interfaces are synchronous and its architecture is sca… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
57
0

Year Published

2009
2009
2021
2021

Publication Types

Select...
4
4

Relationship

0
8

Authors

Journals

citations
Cited by 92 publications
(57 citation statements)
references
References 21 publications
(31 reference statements)
0
57
0
Order By: Relevance
“…The advantage of FIFO is that they do not affect the locally synchronous domain"s operation. Many FIFO based designs have been published recently [5], [6] and [7].…”
Section: A Fifo Solutionmentioning
confidence: 99%
See 1 more Smart Citation
“…The advantage of FIFO is that they do not affect the locally synchronous domain"s operation. Many FIFO based designs have been published recently [5], [6] and [7].…”
Section: A Fifo Solutionmentioning
confidence: 99%
“…The asynchronous switch is designed to manage the connection of Ring-out-Req and Ring-out-Ack signals with the handshaking Req-ANOC and Ack-ANOC signals as depicted in figure (6). If com signal equals 1(i.e.…”
Section: Asynchronous Switchmentioning
confidence: 99%
“…The final DVFS accurately models timing aspects as well as worst power consumption. The GALS support implements two resynchronization schemes, handshake [17] and FIFO [18], allowing trading area and power consumption over performance penalties. Both the handshake and the FIFO resynchronizers allows to partition the design into multiple VFIs, even if the handshake one severely impacts the system performance, as discussed in Section 3.5 and Section 4.4.…”
Section: Novel Contributionmentioning
confidence: 99%
“…The busy signal is used to prevent the transmission of new flits until the reception of the acknowledge signal. FIFO Resynchronizer Starting from the work in [18], the proposed framework implements the FIFO model to resynchronize two routers as well as its connected computational and memory components. The FIFO resynchronizer allows to decouple the transmitter and receiver, since the former can send data up to fully fill the FIFO at its own frequency while the receiver can read data up to the frequency of the sender.…”
Section: Handshake Resynchronizermentioning
confidence: 99%
“… FIFO buffers: using asynchronous FIFO buffers between locally synchronous blocks to hide the synchronization problem. This technique can be seen in various NoC designs as [97,98].  Boundary synchronization: performing boundary synchronization on the signals crossing the borders of the locally synchronous island without stopping the complete locally synchronous block during data transfer [94].…”
Section: A Asynchronous Processorsmentioning
confidence: 99%