2020
DOI: 10.1145/3427377
|View full text |Cite
|
Sign up to set email alerts
|

Bayesian Optimization for Efficient Accelerator Synthesis

Abstract: Accelerator design is expensive due to the effort required to understand an algorithm and optimize the design. Architects have embraced two technologies to reduce costs. High-level synthesis automatically generates hardware from code. Reconfigurable fabrics instantiate accelerators while avoiding fabrication costs for custom circuits. We further reduce design effort with statistical learning. We build an automated framework, called Prospector, that uses Bayesian techniques to optimize synthesis directives, red… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
12
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 11 publications
(13 citation statements)
references
References 77 publications
0
12
0
Order By: Relevance
“…Prospector [12] uses Bayesian optimization that builds a probabilistic model of the design space and iteratively determines the best points to sample. ProspectorâĂŹs Bayesian Optimization Unit uses a squared exponential kernel as a Gaussian process to create a model of the design space.…”
Section: Related Workmentioning
confidence: 99%
“…Prospector [12] uses Bayesian optimization that builds a probabilistic model of the design space and iteratively determines the best points to sample. ProspectorâĂŹs Bayesian Optimization Unit uses a squared exponential kernel as a Gaussian process to create a model of the design space.…”
Section: Related Workmentioning
confidence: 99%
“…They estimate the resources and performance of each point in the design space. Model-free techniques include approaches in which the HLS tool is treated as a black box, such as Bayesian optimization and reinforcement learning techniques [112], [113], [114], [115].…”
Section: B Fpga-based Design Space Explorationmentioning
confidence: 99%
“…Other models focus on the DSE process are presented in [126], [127], [128], and [129]. [114], which uses Bayesian techniques to obtain the best configurations FIGURE 9. A DSE methodology presented in [116], [118].…”
Section: ) Modelsmentioning
confidence: 99%
“…Furthermore, BO can estimate a surrogate model of the system that helps to explain the inter-dependencies of design variables. For instance, in [8], the authors suggested a framework for designspace exploration for C/C++ High-Level Synthesis that uses BO. They have shown that BO outperforms the traditional search method in terms of latency and resource usage in the FPGA targets.…”
Section: Related Workmentioning
confidence: 99%
“…Although the approach we are presenting also uses BO to perform design-space exploration, there are two distinct features that differentiate our work from [3], [8]. First, our work is based on High-Level Synthesis for OpenCL kernels.…”
Section: Related Workmentioning
confidence: 99%