Proceedings of the 23rd ACM International Conference on Great Lakes Symposium on VLSI 2013
DOI: 10.1145/2483028.2483093
|View full text |Cite
|
Sign up to set email alerts
|

Assignment of adjustable delay buffers for clock skew minimization in multi-voltage mode designs

Abstract: It is well known that clock skew minimization becomes critical in high-performance VLSI designs. In this paper, the assignment of adjustable delay buffers(ADBs) is applied to minimize the clock skew in a buffered clock tree in a multi-voltage mode design. Given a buffered clock tree, based on the assignment flexibility of the delay value on an ADB, bottom-up ADB assignment is firstly proposed to insert ADBs to minimize the clock skew by assigning the delay values of the inserted ADBs for each power mode. Furth… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2016
2016
2016
2016

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
references
References 14 publications
(49 reference statements)
0
0
0
Order By: Relevance