2004
DOI: 10.1117/12.534735
|View full text |Cite
|
Sign up to set email alerts
|

Application of photosensitive BARC and KrF resist on implant layers

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
2
0

Year Published

2007
2007
2018
2018

Publication Types

Select...
5
2

Relationship

1
6

Authors

Journals

citations
Cited by 9 publications
(2 citation statements)
references
References 0 publications
0
2
0
Order By: Relevance
“…Developer-soluble BARCs (DBARCs) are different than traditional dry-etch BARCs in that DBARCs are patterned with the resist during the develop step (1,3). The original application for DBARCs was to enhance the patterning of implant layers (3,4,5). These initial DBARCs developed isotropically and were adjustable by process modifications (6).…”
Section: Introductionmentioning
confidence: 99%
“…Developer-soluble BARCs (DBARCs) are different than traditional dry-etch BARCs in that DBARCs are patterned with the resist during the develop step (1,3). The original application for DBARCs was to enhance the patterning of implant layers (3,4,5). These initial DBARCs developed isotropically and were adjustable by process modifications (6).…”
Section: Introductionmentioning
confidence: 99%
“…Photosensitive DBARCs have photoimageable properties and resolve patterns irrespective of upper resist layer [9][10][11][12][13][14][15][16][17][18]. Therefore, they have better resolution and through-pitch performance which are required for logic devices and critical layers.…”
mentioning
confidence: 99%