Proceedings of the 40th Annual International Symposium on Computer Architecture 2013
DOI: 10.1145/2485922.2485952
|View full text |Cite
|
Sign up to set email alerts
|

An energy-efficient and scalable eDRAM-based register file architecture for GPGPU

Abstract: The heavily-threaded data processing demands of streaming multiprocessors (SM) in a GPGPU require a large register file (RF). The fast increasing size of the RF makes the area cost and power consumption unaffordable for traditional SRAM designs in the future technologies. In this paper, we propose to use embedded-DRAM (eDRAM) as an alternative in future GPGPUs. Compared with SRAM, eDRAM provides higher density and lower leakage power. However, the limited data retention time in eDRAM poses new challenges. Peri… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
8
0

Year Published

2014
2014
2024
2024

Publication Types

Select...
7
2

Relationship

0
9

Authors

Journals

citations
Cited by 50 publications
(8 citation statements)
references
References 25 publications
0
8
0
Order By: Relevance
“…In HAWS execution, when instructions retire, we use register renaming to avoid WARs and WAWs when storing results, as necessary. Registers files in the GPU are underutilized in many applications, which has been discussed in many recent studies [7,8,16]. Based on these studies and our evaluation, we propose to use the underutilized registers for register renaming.…”
Section: Hint Formatmentioning
confidence: 96%
“…In HAWS execution, when instructions retire, we use register renaming to avoid WARs and WAWs when storing results, as necessary. Registers files in the GPU are underutilized in many applications, which has been discussed in many recent studies [7,8,16]. Based on these studies and our evaluation, we propose to use the underutilized registers for register renaming.…”
Section: Hint Formatmentioning
confidence: 96%
“…The efficiency of using embedded DRAM (eDRAM) as the GPU register files has been investigated recently [13,14]. Compared with traditional SRAM, eDRAM provides higher density and lower leakage power, but it has limited data retention time.…”
Section: Related Workmentioning
confidence: 99%
“…(1) DVFS (dynamic voltage/frequency scaling) based techniques Jiao et al 2010;Ma et al 2012;Cebrian et al 2012;Lee et al 2011;Sheaffer et al 2005b;Chang et al 2008;Ren 2011;Anzt et al 2011;Ren et al 2012;Zhao et al 2012;Huo et al 2012;Keller and Gruber 2010;Abe et al 2012;Park et al 2006;Paul et al 2013] (2) CPU-GPU workload division based techniques [Takizawa et al 2008;Rofouei et al 2008;Ma et al 2012;Hamano et al 2009] and GPU workload consolidation (3) Architectural techniques for saving energy in specific GPU components, such as caches Lee et al 2011;Lashgar et al 2013;Arnau et al 2012;Rogers et al 2013;Lee and Kim 2012], global memory [Wang et al 2013;Rhu et al 2013], pixel shader [Pool et al 2011], vertex shader [Pool et al 2008], core data-path, registers, pipeline and thread-scheduling Chu et al 2011;Gebhart et al 2011;Jing et al 2013;Gilani et al 2012;Sethia et al 2013].…”
Section: Overviewmentioning
confidence: 99%