2017
DOI: 10.1016/j.micpro.2017.01.003
|View full text |Cite
|
Sign up to set email alerts
|

An efficient numerical-based crosstalk avoidance codec design for NoCs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
8
0

Year Published

2018
2018
2022
2022

Publication Types

Select...
7
1

Relationship

0
8

Authors

Journals

citations
Cited by 15 publications
(8 citation statements)
references
References 11 publications
0
8
0
Order By: Relevance
“…The obtained results of 28nm technology bus encoding method have been compared with different techniques used for minimizing crosstalk. [16][17][18][19]. Existing-I [16] 43375.53 Existing-II [17] 40214.31 LUT-BED [18] 706397 LUT-BED-CLA- [19] 26821 28nm…”
Section: Resultsmentioning
confidence: 99%
“…The obtained results of 28nm technology bus encoding method have been compared with different techniques used for minimizing crosstalk. [16][17][18][19]. Existing-I [16] 43375.53 Existing-II [17] 40214.31 LUT-BED [18] 706397 LUT-BED-CLA- [19] 26821 28nm…”
Section: Resultsmentioning
confidence: 99%
“…So, not possible to get a proper crosstalk rectifying signal in the output. Z. Shirmohammadi, F. Mozafari, and S.G. Miremadi [20] has proposed an overhead-efficient coding mechanism called Penultimate-Subtracted Fibonacci (PS-Fibo) to alleviate crosstalk faults in NoC wires. PS-Fibo coding mechanism benefits the novel numerical system that not only completely removes TODs but also, is applicable to a wide range of NoC channel widths.…”
Section: Related Workmentioning
confidence: 99%
“…The comparison of area, power, delay, APP, and ADP for different technologies such as 180nm and 45nm is given in Table 1. In this table, five methods have been compared such as Existing-I [14], Existing-II [20], 18T-FA-BEM, LUT-BED, and LUT-BED-CLA for both 180nm and 45nm. These five method are implemented by Verilog and the outputs are tabulated.…”
Section: Delaymentioning
confidence: 99%
See 1 more Smart Citation
“…A high-performance coding mechanism called Penultimate-Subtracted Fibonacci is proposed to alleviate crosstalk faults in NoC links (Shirmohammadi et al, 2017). This mechanism benefits the advantages of a new numerical system that does not only completely eliminate triplet opposite direction but also is applicable in a wide range of NoC channel widths.…”
Section: Introductionmentioning
confidence: 99%