2022 IET International Conference on Engineering Technologies and Applications (IET-ICETA) 2022
DOI: 10.1109/iet-iceta56553.2022.9971628
|View full text |Cite
|
Sign up to set email alerts
|

An Efficient Fault-Tolerant Winograd Convolution for Convolutional Neural Networks

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2023
2023
2023
2023

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
(1 citation statement)
references
References 5 publications
0
1
0
Order By: Relevance
“…Although conventional fault-tolerant design approaches such as triple modular redundancy, dual modular redundancy (DMR), ECC, and algorithm-based fault tolerance (ABFT) [52]- [55] can potentially alleviate the influence of soft errors, they usually require considerable computing overhead. For instance, the authors in [56] have an self-checking scheme integrated in each modular of a typical DMR approach such that each modular can detect errors by itself. In this case, the revised DMR can also recover when only one of the modular is faulty.…”
Section: Fault Tolerance Of Neural Networkmentioning
confidence: 99%
“…Although conventional fault-tolerant design approaches such as triple modular redundancy, dual modular redundancy (DMR), ECC, and algorithm-based fault tolerance (ABFT) [52]- [55] can potentially alleviate the influence of soft errors, they usually require considerable computing overhead. For instance, the authors in [56] have an self-checking scheme integrated in each modular of a typical DMR approach such that each modular can detect errors by itself. In this case, the revised DMR can also recover when only one of the modular is faulty.…”
Section: Fault Tolerance Of Neural Networkmentioning
confidence: 99%