2006
DOI: 10.1109/micro.2006.8
|View full text |Cite
|
Sign up to set email alerts
|

An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

1
336
3
1

Year Published

2009
2009
2020
2020

Publication Types

Select...
5
1
1

Relationship

0
7

Authors

Journals

citations
Cited by 500 publications
(355 citation statements)
references
References 27 publications
1
336
3
1
Order By: Relevance
“…The reason is that the power related to the memory -which is the main component stressed by these benchmarks-can be easily modeled using just two states. This is because such component is less affected by the DVFS state changes 10 . Figure 7 shows the PAAEs of all the TD C related models against the BU DVFS 3 one for the LMBENCH suite, the most generic one.…”
Section: Accuracymentioning
confidence: 99%
See 1 more Smart Citation
“…The reason is that the power related to the memory -which is the main component stressed by these benchmarks-can be easily modeled using just two states. This is because such component is less affected by the DVFS state changes 10 . Figure 7 shows the PAAEs of all the TD C related models against the BU DVFS 3 one for the LMBENCH suite, the most generic one.…”
Section: Accuracymentioning
confidence: 99%
“…For instance, Dynamic Voltage and Frequency Scaling (DVFS) [8] allows to select the operating frequency of each core, thus, it permits to control the overall power consumption. This has been shown to be a powerful technology, and many proposals use it to implement power-aware policies such as power capping or power envelopes [9,10,11,12].…”
Section: Introductionmentioning
confidence: 99%
“…Sanchez and Kozyrakis, for example, show that fine-grained shared-cache cache partitioning is feasible in a large-scale CMP system [36], yielding greatly improved utilization. Similarly, multiple poweroriented studies [6,15,23] show that fine-grained, per-core DVFS regulation can greatly improve a CMP's energy efficiency. Intel has recently deployed a low-cost, fully-integrated voltage regulator in Haswell [19], and other researchers are making significant advances in supporting per-core DVFS [21,38].…”
Section: Motivation Of Our Approachmentioning
confidence: 99%
“…Cache and off-chip band-width are mostly related to the length of the memory phase: a larger L2 allocation will lower the cache miss rate, while more memory bandwidth will mitigate the penalty of cache misses. At the same time, a higher power budget allocation will allow a core to run at a higher frequency, and thus the compute phase will be scaled down proportionally [6,28].…”
Section: Utility Modelmentioning
confidence: 99%
See 1 more Smart Citation