2016
DOI: 10.1109/mm.2016.11
|View full text |Cite
|
Sign up to set email alerts
|

An Agile Approach to Building RISC-V Microprocessors

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
26
0
2

Year Published

2018
2018
2024
2024

Publication Types

Select...
5
3
1

Relationship

0
9

Authors

Journals

citations
Cited by 88 publications
(28 citation statements)
references
References 9 publications
0
26
0
2
Order By: Relevance
“…With the trend and opportunities in domain-specific architectures [20] , e.g., open-source implementations and agile chip development technics [22] , customized graph processing accelerators have emerged as a promising solution to achieve both high performance and energy efficiency.…”
Section: Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…With the trend and opportunities in domain-specific architectures [20] , e.g., open-source implementations and agile chip development technics [22] , customized graph processing accelerators have emerged as a promising solution to achieve both high performance and energy efficiency.…”
Section: Discussionmentioning
confidence: 99%
“…FPGAs have been widely adopted in industries to accelerate the datacenter [23] for the high energy efficiency and performance. ment of FPGA graph processing accelerators [22] .…”
Section: Opportunitiesmentioning
confidence: 99%
“…The tool consists of a set of parameterized libraries that can be used to generate different types of SoC. By standardizing the interfaces used to connect generators of different libraries to each other, it creates a plug-and-play environment, which makes it easy to change hardware components without the need to change the source code of each one [13]. The generators available are listed as follows:…”
Section: Rocket Chip Generatormentioning
confidence: 99%
“…In this work, we focus on the Chisel [3] HCL, which is quite mature and has been proven successful in the development of complex circuits, notably rocket-chip, the original RISC-V core generator [4] and Google Edge TPU (Tensor Processing Unit) [5]. Chisel was initially designed to gain agility in RISC-V microprocessors tape-out process [6]. Despite this initial target, we also successfully use it into our production FPGAbased network functions at OVHcloud.…”
Section: Introductionmentioning
confidence: 99%