2012 15th Euromicro Conference on Digital System Design 2012
DOI: 10.1109/dsd.2012.21
|View full text |Cite
|
Sign up to set email alerts
|

Algorithm Parallelism Estimation for Constraining Instruction-Set Synthesis for VLIW Processors

Abstract: Abstract-Customization of a (generic) processor to a particular application makes it possible to achieve high performance within a tight energy budget. Most of the published research works on processor customization extend a simple base processor with custom instructions. Only few works have considered a full instruction-set customization for complex highly parallel Very Long Instruction Word (VLIW) architectures. This paper discusses the parallelism estimation for a full instruction-set synthesis for VLIW pro… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
4
0

Year Published

2012
2012
2013
2013

Publication Types

Select...
2
1

Relationship

2
1

Authors

Journals

citations
Cited by 3 publications
(4 citation statements)
references
References 21 publications
0
4
0
Order By: Relevance
“…They include results related to such important problems as energy consumption management, application analysis and restructuring or actual automatic coherent SW and HW co-development. More information on the research results from ASAM can be found in several already published papers with links to them at the ASAM home-page (http://www.asam-project.org/), a few more specific papers in this conference [27][28][29], [31], [32], and several papers under preparation that will be published soon.…”
Section: Discussionmentioning
confidence: 99%
“…They include results related to such important problems as energy consumption management, application analysis and restructuring or actual automatic coherent SW and HW co-development. More information on the research results from ASAM can be found in several already published papers with links to them at the ASAM home-page (http://www.asam-project.org/), a few more specific papers in this conference [27][28][29], [31], [32], and several papers under preparation that will be published soon.…”
Section: Discussionmentioning
confidence: 99%
“…More extreme cases, resulting in larger overestimations, can be constructed in a similar fashion. 3) Maximum parallelism: The maximum parallelism [21], [22] can be estimated in a way that is similar to the estimation of the force based parallelism. The only difference is that all nodes are counted with the same weight and that the length of the schedule interval is not taken into account as shown in figure 4.…”
Section: ) Average Parallelismmentioning
confidence: 99%
“…3) Maximum parallelism (MP) [20]- [22], estimated by finding the maximal number of operations which can be scheduled in parallel. 4) Required parallelism (RP) [17], [21], [22], estimating the minimal upper-bound on the parallelism as required for scheduling of an application part within a given latency bound.…”
Section: Issue-width Estimationmentioning
confidence: 99%
See 1 more Smart Citation