2021
DOI: 10.48550/arxiv.2106.13263
|View full text |Cite
Preprint
|
Sign up to set email alerts
|

AKER: A Design and Verification Framework for Safe andSecure SoC Access Control

Abstract: Modern systems on a chip (SoCs) utilize heterogeneous architectures where multiple IP cores have concurrent access to on-chip shared resources. In security-critical applications, IP cores have different privilege levels for accessing shared resources, which must be regulated by an access control system. AKER is a design and verification framework for SoC access control. AKER builds upon the Access Control Wrapper (ACW) -a high performance and easy-to-integrate hardware module that dynamically manages access to… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Publication Types

Select...

Relationship

0
0

Authors

Journals

citations
Cited by 0 publications
references
References 15 publications
(19 reference statements)
0
0
0
Order By: Relevance

No citations

Set email alert for when this publication receives citations?