2020
DOI: 10.48550/arxiv.2012.01563
|View full text |Cite
Preprint
|
Sign up to set email alerts
|

Accelerated Charged Particle Tracking with Graph Neural Networks on FPGAs

Aneesh Heintz,
Vesal Razavimaleki,
Javier Duarte
et al.

Abstract: We develop and study FPGA implementations of algorithms for charged particle tracking based on graph neural networks. The two complementary FPGA designs are based on OpenCL, a framework for writing programs that execute across heterogeneous platforms, and hls4ml, a high-level-synthesis-based compiler for neural network to firmware conversion. We evaluate and compare the resource usage, latency, and tracking performance of our implementations based on a benchmark dataset. We find a considerable speedup over CPU… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

1
12
0

Year Published

2021
2021
2023
2023

Publication Types

Select...
4
3
1

Relationship

3
5

Authors

Journals

citations
Cited by 13 publications
(13 citation statements)
references
References 26 publications
(43 reference statements)
1
12
0
Order By: Relevance
“…Our current work extends this by allowing more generic IN architectures to be converted with hls4ml, permitting the specification of a variable graph adjacency matrix as input, and supporting per-node or per-edge outputs as well as per-graph outputs. This paper also supersedes an earlier version of this work in Heintz et al (2020).…”
Section: Related Worksupporting
confidence: 67%
“…Our current work extends this by allowing more generic IN architectures to be converted with hls4ml, permitting the specification of a variable graph adjacency matrix as input, and supporting per-node or per-edge outputs as well as per-graph outputs. This paper also supersedes an earlier version of this work in Heintz et al (2020).…”
Section: Related Worksupporting
confidence: 67%
“…Separately, the availability of the TrackML datasets ( [11] for the Accuracy phase and [10] for this Throughput phase) has been extremely useful to facilitate the collaboration of experts which are usually working within their own experimental team. It is being used for further studies like track seeds finding with similarity hashing [20] or classification with deep learning [21], investigating the use of cluster shape to help seeding [22], investigating tracking with graph net-works [23,24,25,26,27] (including with FPGA [28] ), investigating tracking with simulated annealing on a D-Wave quantum computer [29,30] or Quantum Edge Network [31,32,33], and building a complete generic tracking pipeline [34].…”
Section: Discussionmentioning
confidence: 99%
“…So far, new development has been focused on the Vivado HLS [7] back-end targeting Xilinx FPGAs. We have demonstrated this workflow for fully-connected, or dense, neural networks (DNNs) [1], binary and ternary networks [8], boosted decision trees [9], and graph neural networks [10,11]. The hls4ml library accepts models from TENSORFLOW [12], KERAS [13], PYTORCH [14], and via the ONNX interface [15].…”
Section: Introductionmentioning
confidence: 99%
“…Nevertheless, complex architectures can be supported, as discussed in Ref. [10,11] in the case of graph neural networks.…”
Section: Introductionmentioning
confidence: 99%