2017
DOI: 10.1109/jssc.2017.2654326
|View full text |Cite
|
Sign up to set email alerts
|

A Subthreshold Voltage Reference With Scalable Output Voltage for Low-Power IoT Systems

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4

Citation Types

0
76
0

Year Published

2017
2017
2023
2023

Publication Types

Select...
6
1

Relationship

0
7

Authors

Journals

citations
Cited by 162 publications
(80 citation statements)
references
References 18 publications
0
76
0
Order By: Relevance
“…[1][2][3][4] The rationale behind BGR is based on the combination of two different voltages, one with proportional-to-absolute temperature (PTAT) characteristics and one with complementary-to-absolute-temperature (CTAT) in order to compensate drain current variations and generate a voltage reference, which is independent of temperature. Two main approaches exist based on either the difference between transistors threshold voltages [5][6][7][8][9] or on different current densities. 4 To achieve ultralow power consumption in CMOS technology, subthreshold operation transistors are used to implement voltage reference circuits.…”
Section: Introductionmentioning
confidence: 99%
“…[1][2][3][4] The rationale behind BGR is based on the combination of two different voltages, one with proportional-to-absolute temperature (PTAT) characteristics and one with complementary-to-absolute-temperature (CTAT) in order to compensate drain current variations and generate a voltage reference, which is independent of temperature. Two main approaches exist based on either the difference between transistors threshold voltages [5][6][7][8][9] or on different current densities. 4 To achieve ultralow power consumption in CMOS technology, subthreshold operation transistors are used to implement voltage reference circuits.…”
Section: Introductionmentioning
confidence: 99%
“…It is widely used in various analog integrated circuits so as to produce a DC voltage insusceptible to power supply, process and temperature [1]. Nowadays, the development of Internet of things (IOTs) increases rapidly and the integration of chips grows increasingly higher, so design requirements such as precision, power consumption, temperature range and area of the bandgap voltage reference also enhances [2,3].…”
Section: Introductionmentioning
confidence: 99%
“…In spite of the interest for low-voltage and low-power current references, only a limited number of topologies have been proposed so far, [6][7][8][9][10][11][12][13] especially if compared with the huge number of solutions proposed for the voltage reference counterpart (eg, see previous studies [14][15][16][17][18][19][20][21][22] ). The above current references achieve nanopower consumption, but they are unable to work with bias voltage (V DD ) lower than 1 V, except for the solution proposed by Cucchi et al, 12 which presents a minimum bias voltage of 0.8 V. It is worth pointing out that even 0.8 V is still too high for most of the emerging solutions for IoT nodes.…”
Section: Introductionmentioning
confidence: 99%
“…The aforementioned constraints for IoT systems are clearly transferred to the design specifications of current references.In spite of the interest for low-voltage and low-power current references, only a limited number of topologies have been proposed so far, 6-13 especially if compared with the huge number of solutions proposed for the voltage reference counterpart (eg, see previous studies [14][15][16][17][18][19][20][21][22] ). The circuit consists of a 2-transistor block that generates a proportional-to-absolute-temperature or a complementary-toabsolute-temperature voltage and a load transistor.…”
mentioning
confidence: 99%