2009 Design, Automation &Amp; Test in Europe Conference &Amp; Exhibition 2009
DOI: 10.1109/date.2009.5090674
|View full text |Cite
|
Sign up to set email alerts
|

A study on placement of post silicon clock tuning buffers for mitigating impact of process variation

Abstract: Optical shrink for process migration, manufacturing process variation, temperature and voltage changes lead to clock skew as well as path delay variations in a manufactured chip. Such variations end up degrading the performance of manufactured chips. Since, such variations are hard to predict in pre-silicon phase, tunable clock buffers have been used in several designs. These buffers are tuned to improve maximum operating clock frequency of a design. Previously, we have presented an algorithmic approach that u… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
9
0

Year Published

2011
2011
2018
2018

Publication Types

Select...
5
3

Relationship

0
8

Authors

Journals

citations
Cited by 12 publications
(9 citation statements)
references
References 16 publications
0
9
0
Order By: Relevance
“…When in addition to structural differences in logic gates, the number of path elements is increased, the mismatch provoked by environmental conditions fluctuations will be enlarged, because the deviations on propagation time of every single cell is added to the total propagation time as indicated by Eq. (9).…”
Section: Mismatch In Timing Parametersmentioning
confidence: 95%
See 1 more Smart Citation
“…When in addition to structural differences in logic gates, the number of path elements is increased, the mismatch provoked by environmental conditions fluctuations will be enlarged, because the deviations on propagation time of every single cell is added to the total propagation time as indicated by Eq. (9).…”
Section: Mismatch In Timing Parametersmentioning
confidence: 95%
“…Process variability, due to its static natures may be partially alleviated by static solutions like layout techniques, introduce corrections steps in the process, etc., but dynamic environmental conditions cannot be treated in the same way because its completely different nature. Techniques to dynamically compensate the effects of process variation [9], [2], temperature [3], voltage and temperature [4], [10] and Process variability-Temperature&Voltage [5] deviations all of them to be used in post-silicon phase and should take into account the mismatch effect shown along this work. …”
Section: Tendency On Propagation Time Mismatchmentioning
confidence: 99%
“…In Ref. [85], the placement of tunable buffers is explored and a considerable yield improvement has been observed.…”
Section: Post-silicon Clock Tuningmentioning
confidence: 99%
“…After a path in Pt is tested by frequency stepping, its delay has been in a range with a lower bound and an upper bound. For another delay d k that is not measured directly but to be estimated, (4) and (5) are used to calculate the mean value µ k and the standard deviation σ k . According to (4) and (5), σ k is determined exclusively by the covariance matrix, but µ k is affected by dt, which are the delays measured by frequency stepping.…”
Section: Buffer Configuration With Delay Estimationmentioning
confidence: 99%